Parent directory/ | - | - |
lua-psl-0.3-r0.apk | 1.1 KiB | 2020-02-05 10:50:54 |
elastic-beats-8.13.3-r0.apk | 1.1 KiB | 2024-05-03 19:44:59 |
lua-lcurses-9.0.0-r0.apk | 1.1 KiB | 2018-01-05 13:55:08 |
elfio-3.12-r0.apk | 1.2 KiB | 2023-08-30 04:54:55 |
simpleble-0.6.1-r1.apk | 1.2 KiB | 2023-07-29 20:02:55 |
boxed-cpp-1.4.0-r0.apk | 1.2 KiB | 2024-03-02 15:02:22 |
lua-xml-1.1.3-r1.apk | 1.2 KiB | 2020-03-02 11:32:03 |
lua-linenoise-0.9-r1.apk | 1.2 KiB | 2021-01-30 17:27:18 |
phonon-backend-vlc-0.12.0-r0.apk | 1.2 KiB | 2023-11-02 15:06:46 |
arcticons-icon-theme-9.2.4.1-r0.apk | 1.2 KiB | 2024-05-06 21:38:19 |
msgpuck-2.0-r1.apk | 1.2 KiB | 2020-02-22 16:50:58 |
libnest2d-0.4-r6.apk | 1.2 KiB | 2024-04-22 18:58:29 |
lua-libmodbus-0.6.1-r0.apk | 1.2 KiB | 2020-07-11 14:23:21 |
lua-lanes-3.16.0-r1.apk | 1.2 KiB | 2024-04-04 11:35:41 |
arc-theme-20221218-r0.apk | 1.2 KiB | 2023-01-07 13:34:32 |
moderncli-0.8.1-r0.apk | 1.2 KiB | 2024-02-13 21:03:31 |
luacov-0.15.0-r0.apk | 1.2 KiB | 2023-06-08 21:49:05 |
nextpnr-0.6-r2.apk | 1.2 KiB | 2024-04-22 18:58:34 |
logc-libs-0.1.0-r0.apk | 1.2 KiB | 2023-11-18 17:33:12 |
freshrss-mysql-1.23.1-r1.apk | 1.2 KiB | 2024-03-18 06:44:28 |
restinio-0.6.17-r6.apk | 1.2 KiB | 2024-04-22 18:59:11 |
freshrss-pgsql-1.23.1-r1.apk | 1.2 KiB | 2024-03-18 06:44:28 |
lua-editorconfig-0.3.0-r0.apk | 1.2 KiB | 2021-04-12 07:30:39 |
freshrss-sqlite-1.23.1-r1.apk | 1.2 KiB | 2024-03-18 06:44:28 |
librewolf-intl-125.0.3_p1-r0.apk | 1.2 KiB | 2024-05-06 23:49:31 |
font-fantasque-sans-1.8.0-r0.apk | 1.2 KiB | 2019-11-22 15:18:45 |
lua-luastatic-0.0.12-r1.apk | 1.2 KiB | 2022-11-02 08:48:21 |
distrobuilder-lxc-2.1-r16.apk | 1.2 KiB | 2024-04-07 00:46:47 |
qoi-0.0.0_git20230312-r0.apk | 1.2 KiB | 2023-03-17 06:15:21 |
libqofono-0.122-r0.apk | 1.2 KiB | 2023-12-24 15:57:29 |
linuxptp-4.2-r0.apk | 1.2 KiB | 2023-12-20 18:54:36 |
materia-dark-compact-kde-kvantum-20220823-r0.apk | 1.2 KiB | 2023-03-19 22:42:02 |
font-fira-4.202-r0.apk | 1.2 KiB | 2021-12-04 03:21:06 |
termcolor-2.1.0-r0.apk | 1.2 KiB | 2022-11-02 08:49:21 |
materia-light-compact-kde-kvantum-20220823-r0.apk | 1.2 KiB | 2023-03-19 22:42:03 |
openjdk22-22.0.1_p8-r2.apk | 1.2 KiB | 2024-04-26 00:20:15 |
f_scripts-0.5-r2.apk | 1.2 KiB | 2023-09-11 20:17:47 |
distrobuilder-lxd-2.1-r16.apk | 1.2 KiB | 2024-04-07 00:46:47 |
luacov-html-1.0.0-r1.apk | 1.2 KiB | 2022-06-02 15:04:11 |
ruby-build-runtime-20240423-r0.apk | 1.2 KiB | 2024-04-30 22:06:40 |
nb-full-7.12.1-r0.apk | 1.2 KiB | 2024-02-23 23:36:13 |
lomiri-thumbnailer-doc-3.0.3-r1.apk | 1.2 KiB | 2024-04-22 18:58:32 |
font-monaspace-1.000-r0.apk | 1.2 KiB | 2023-11-11 16:12:31 |
aufs-util-dev-20161219-r2.apk | 1.2 KiB | 2023-12-07 15:23:54 |
apk-readme-0.1-r1.apk | 1.2 KiB | 2018-10-12 19:48:35 |
font-raleway-4.101-r1.apk | 1.2 KiB | 2021-11-23 23:57:17 |
lumina-desktop-1.6.2-r0.apk | 1.2 KiB | 2022-07-05 19:11:19 |
qt5ct-dev-1.7-r0.apk | 1.3 KiB | 2023-04-18 11:42:39 |
gdb-esp-13.2-r2.apk | 1.3 KiB | 2024-04-16 16:10:58 |
openocd-git-cmd-openocd-0_git20240113-r0.apk | 1.3 KiB | 2024-01-17 08:39:43 |
musikcube-plugin-all-3.0.2-r1.apk | 1.3 KiB | 2023-12-13 20:21:34 |
slidge-matridge-openrc-0_git20240208-r1.apk | 1.3 KiB | 2024-04-15 21:52:07 |
remake-make-1.5-r1.apk | 1.3 KiB | 2022-11-02 08:49:05 |
libtcmu-dev-1.6.0-r5.apk | 1.3 KiB | 2023-09-01 08:03:18 |
android-file-transfer-dev-4.3-r0.apk | 1.3 KiB | 2023-12-28 23:12:53 |
iipsrv-apache-1.2-r0.apk | 1.4 KiB | 2023-10-05 05:25:00 |
baikal_sqlite-0.9.5-r0.apk | 1.4 KiB | 2024-03-20 21:46:38 |
lizardfs-master-openrc-3.13.0-r13.apk | 1.4 KiB | 2024-04-22 18:58:31 |
vcstool-tcsh-completion-0.3.0-r5.apk | 1.4 KiB | 2024-04-15 21:52:07 |
repgrep-bash-completion-0.15.0-r0.apk | 1.4 KiB | 2024-01-04 19:32:03 |
lizardfs-metalogger-openrc-3.13.0-r13.apk | 1.4 KiB | 2024-04-22 18:58:31 |
repgrep-zsh-completion-0.15.0-r0.apk | 1.4 KiB | 2024-01-04 19:32:03 |
py3-litex-hub-modules-2023.12-r4.apk | 1.4 KiB | 2024-04-15 21:51:39 |
lizardfs-chunkserver-openrc-3.13.0-r13.apk | 1.4 KiB | 2024-04-22 18:58:30 |
wch-isp-udev-rules-0.4.1-r1.apk | 1.4 KiB | 2024-01-19 18:40:42 |
barman-bash-completion-3.10.0-r1.apk | 1.4 KiB | 2024-04-15 21:50:53 |
iipsrv-lighttpd-1.2-r0.apk | 1.4 KiB | 2023-10-05 05:25:00 |
ntfy-alertmanager-openrc-0.3.0-r0.apk | 1.4 KiB | 2024-04-18 00:20:40 |
moosefs-master-openrc-3.0.117-r1.apk | 1.4 KiB | 2023-06-17 21:33:41 |
prometheus-rethinkdb-exporter-openrc-1.0.1-r20.apk | 1.4 KiB | 2024-04-07 00:50:14 |
dstask-zsh-completion-0.26-r6.apk | 1.4 KiB | 2024-04-07 00:47:04 |
m17n-db-dev-1.8.5-r0.apk | 1.4 KiB | 2023-11-23 21:18:55 |
lxd-feature-doc-5.20-r2.apk | 1.4 KiB | 2024-04-07 00:49:24 |
ruuvi-prometheus-openrc-0.1.7-r2.apk | 1.4 KiB | 2024-04-07 00:50:37 |
moosefs-metalogger-openrc-3.0.117-r1.apk | 1.4 KiB | 2023-06-17 21:33:41 |
interception-tools-openrc-0.6.8-r2.apk | 1.4 KiB | 2024-04-22 18:58:15 |
grommunio-admin-api-openrc-1.14-r0.apk | 1.4 KiB | 2024-04-26 06:32:52 |
fileshelter-openrc-5.1.2-r4.apk | 1.4 KiB | 2024-04-22 18:58:10 |
dvdbackup-lang-0.4.2-r1.apk | 1.4 KiB | 2022-10-14 16:16:26 |
moosefs-chunkserver-openrc-3.0.117-r1.apk | 1.4 KiB | 2023-06-17 21:33:41 |
materia-20210322-r1.apk | 1.4 KiB | 2022-11-02 08:48:32 |
hiawatha-openrc-11.5-r0.apk | 1.4 KiB | 2024-04-13 17:05:20 |
3proxy-openrc-0.9.4-r0.apk | 1.4 KiB | 2023-09-18 05:37:19 |
materia-compact-20210322-r1.apk | 1.4 KiB | 2022-11-02 08:48:32 |
wroomd-openrc-0.1.0-r0.apk | 1.4 KiB | 2023-10-06 06:01:44 |
fusee-nano-udev-0.5.3-r0.apk | 1.4 KiB | 2023-12-31 18:28:48 |
materia-dark-20210322-r1.apk | 1.4 KiB | 2022-11-02 08:48:32 |
neard-openrc-0.19-r0.apk | 1.4 KiB | 2023-09-19 19:51:06 |
nymphcast-mediaserver-nftables-0.1-r2.apk | 1.5 KiB | 2023-01-08 04:30:21 |
prosody-modules-0.11_hg20201208-r0.apk | 1.5 KiB | 2020-12-08 21:10:45 |
wf-shell-dev-0.8.1-r0.apk | 1.5 KiB | 2024-03-15 06:19:32 |
thelounge-openrc-4.4.1-r0.apk | 1.5 KiB | 2023-08-07 05:56:26 |
soapy-sdr-remote-openrc-0.5.2-r1.apk | 1.5 KiB | 2023-07-29 20:02:55 |
rinetd-openrc-0.73-r0.apk | 1.5 KiB | 2023-12-09 22:48:28 |
libwmiclient-dev-1.3.16-r4.apk | 1.5 KiB | 2022-11-02 08:47:58 |
materia-dark-compact-20210322-r1.apk | 1.5 KiB | 2022-11-02 08:48:32 |
pantalaimon-ui-0.10.5-r4.apk | 1.5 KiB | 2024-04-15 15:00:19 |
autorandr-udev-1.15-r0.apk | 1.5 KiB | 2024-03-16 12:36:56 |
repowerd-openrc-2023.07-r1.apk | 1.5 KiB | 2024-05-07 20:17:31 |
grommunio-common-openrc-1.0-r0.apk | 1.5 KiB | 2024-04-26 06:32:53 |
scaleway-cli-fish-completion-2.29.0-r0.apk | 1.5 KiB | 2024-04-07 00:50:42 |
vcstool-zsh-completion-0.3.0-r5.apk | 1.5 KiB | 2024-04-15 21:52:07 |
zrepl-openrc-0.6.1-r3.apk | 1.5 KiB | 2024-04-07 00:52:46 |
speedtest-go-openrc-1.1.5-r7.apk | 1.5 KiB | 2024-04-07 00:50:55 |
razercfg-openrc-0.42-r6.apk | 1.5 KiB | 2024-04-15 21:52:06 |
arc-20221218-r0.apk | 1.5 KiB | 2023-01-07 13:34:32 |
usbguard-openrc-1.1.2-r8.apk | 1.5 KiB | 2024-01-03 19:29:59 |
arc-dark-20221218-r0.apk | 1.5 KiB | 2023-01-07 13:34:32 |
tuptime-openrc-5.2.2-r3.apk | 1.5 KiB | 2023-06-25 11:02:59 |
vcstool-bash-completion-0.3.0-r5.apk | 1.5 KiB | 2024-04-15 21:52:07 |
dcmtk-openrc-3.6.8-r0.apk | 1.5 KiB | 2024-01-13 23:09:39 |
fastd-openrc-22-r3.apk | 1.5 KiB | 2023-10-22 04:58:39 |
scaleway-cli-zsh-completion-2.29.0-r0.apk | 1.5 KiB | 2024-04-07 00:50:42 |
arc-lighter-20221218-r0.apk | 1.5 KiB | 2023-01-07 13:34:32 |
arc-darker-20221218-r0.apk | 1.5 KiB | 2023-01-07 13:34:32 |
firewalld-openrc-2.1.2-r0.apk | 1.5 KiB | 2024-04-13 17:05:19 |
ghq-bash-completion-1.6.1-r0.apk | 1.5 KiB | 2024-05-05 05:24:56 |
phoronix-test-suite-bash-completion-10.8.4-r2.apk | 1.5 KiB | 2023-10-15 13:20:30 |
kopia-bash-completion-0.15.0-r2.apk | 1.5 KiB | 2024-04-07 00:49:01 |
sing-box-openrc-1.8.4-r3.apk | 1.5 KiB | 2024-04-07 00:50:46 |
stubby-openrc-0.4.3-r0.apk | 1.5 KiB | 2023-02-22 16:35:42 |
yazi-fish-completion-0.2.5-r0.apk | 1.5 KiB | 2024-04-28 22:24:57 |
wpaperd-fish-completion-0.3.0-r2.apk | 1.5 KiB | 2023-07-01 05:25:30 |
octoprint-openrc-1.10.0-r0.apk | 1.5 KiB | 2024-04-25 01:28:51 |
otrs-fastcgi-6.0.48-r1.apk | 1.5 KiB | 2024-01-15 09:42:35 |
docker-volume-local-persist-openrc-1.3.0-r25.apk | 1.5 KiB | 2024-04-07 00:46:50 |
soqt-doc-1.6.0-r0.apk | 1.5 KiB | 2021-11-23 23:57:25 |
kopia-zsh-completion-0.15.0-r2.apk | 1.5 KiB | 2024-04-07 00:49:01 |
cliphist-fzf-0.5.0-r2.apk | 1.5 KiB | 2024-04-07 00:46:06 |
udpt-openrc-3.1.2-r0.apk | 1.5 KiB | 2023-05-26 21:46:55 |
apollo-openrc-0.2.1-r2.apk | 1.5 KiB | 2024-04-07 00:45:35 |
shutdown-clear-machine-id-1.0.0-r0.apk | 1.5 KiB | 2023-08-21 10:45:10 |
crowdsec-openrc-1.6.1-r0.apk | 1.5 KiB | 2024-04-17 02:55:19 |
py3-yosys-0.36-r3.apk | 1.5 KiB | 2024-04-22 18:59:11 |
foolsm-openrc-1.0.21-r0.apk | 1.5 KiB | 2022-05-21 12:41:34 |
listenbrainz-mpd-fish-completion-2.3.5-r0.apk | 1.5 KiB | 2024-04-24 06:11:43 |
otrs-nginx-6.0.48-r1.apk | 1.6 KiB | 2024-01-15 09:42:35 |
espeakup-openrc-0.90-r2.apk | 1.6 KiB | 2024-03-14 08:36:01 |
dnsfunnel-openrc-0.0.1.6-r0.apk | 1.6 KiB | 2023-11-06 21:51:16 |
forgejo-openrc-7.0.2-r0.apk | 1.6 KiB | 2024-05-05 05:24:56 |
mkdocs-ivory-pyc-0.4.6-r4.apk | 1.6 KiB | 2024-04-15 21:51:19 |
synapse-bt-openrc-1.0-r4.apk | 1.6 KiB | 2023-05-24 07:48:27 |
coventry-openrc-0.8.1-r0.apk | 1.6 KiB | 2024-02-24 15:18:14 |
mkdocs-cinder-pyc-1.2.0-r4.apk | 1.6 KiB | 2024-04-15 21:51:19 |
icingaweb2-module-pnp-doc-1.1.0-r1.apk | 1.6 KiB | 2018-10-12 19:49:12 |
spacectl-zsh-completion-0.30.0-r2.apk | 1.6 KiB | 2024-04-07 00:50:54 |
hwatch-fish-completion-0.3.11-r0.apk | 1.6 KiB | 2024-03-18 01:01:31 |
icingaweb2-module-generictts-doc-2.1.0-r0.apk | 1.6 KiB | 2023-01-12 15:57:57 |
nvimpager-zsh-completion-0.12.0-r0.apk | 1.6 KiB | 2023-06-22 10:22:02 |
irccd-openrc-4.0.3-r0.apk | 1.6 KiB | 2023-07-29 20:02:48 |
geodns-openrc-3.3.0-r5.apk | 1.6 KiB | 2024-04-07 00:47:34 |
scaleway-cli-bash-completion-2.29.0-r0.apk | 1.6 KiB | 2024-04-07 00:50:42 |
mkdocs-windmill-pyc-1.0.5-r3.apk | 1.6 KiB | 2024-04-15 21:51:20 |
turnstile-openrc-0.1.8-r0.apk | 1.6 KiB | 2023-09-03 04:03:32 |
mkdocs-rtd-dropdown-pyc-1.0.2-r4.apk | 1.6 KiB | 2024-04-15 21:51:19 |
mkdocs-bootstrap4-pyc-0.1.5-r4.apk | 1.6 KiB | 2024-04-15 21:51:19 |
mkdocs-bootstrap-pyc-1.1.1-r1.apk | 1.6 KiB | 2024-04-15 21:51:19 |
materia-kde-konsole-20220823-r0.apk | 1.6 KiB | 2023-03-19 22:42:03 |
mkdocs-cluster-pyc-0.0.9-r4.apk | 1.6 KiB | 2024-04-15 21:51:19 |
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk | 1.6 KiB | 2024-04-15 21:52:02 |
gearmand-openrc-1.1.21-r1.apk | 1.6 KiB | 2024-04-22 18:58:14 |
mkdocs-bootstrap386-pyc-0.0.2-r4.apk | 1.6 KiB | 2024-04-15 21:51:19 |
mkdocs-gitbook-pyc-0.0.1-r4.apk | 1.6 KiB | 2024-04-15 21:51:19 |
jackal-openrc-0.64.0-r7.apk | 1.6 KiB | 2024-04-07 00:48:18 |
materia-dark-kde-konsole-20220823-r0.apk | 1.6 KiB | 2023-03-19 22:42:02 |
yaru-schemas-23.10.0-r0.apk | 1.6 KiB | 2024-04-18 02:08:46 |
pimd-dense-openrc-2.1.0-r0.apk | 1.6 KiB | 2023-01-14 01:44:55 |
ckb-next-daemon-openrc-0.6.0-r1.apk | 1.6 KiB | 2023-07-19 19:11:13 |
grommunio-gromox-dev-2.27-r0.apk | 1.6 KiB | 2024-04-26 06:32:58 |
nullmailer-openrc-2.2-r4.apk | 1.6 KiB | 2021-12-13 15:08:25 |
taskcafe-openrc-0.3.6-r5.apk | 1.6 KiB | 2024-04-07 00:51:12 |
debconf-bash-completion-1.5.82-r0.apk | 1.6 KiB | 2023-03-16 14:39:13 |
tremc-zsh-completion-0.9.3-r0.apk | 1.6 KiB | 2022-03-18 19:20:45 |
prosody-mod-saslname-0.11_hg20201208-r0.apk | 1.6 KiB | 2020-12-08 21:10:45 |
reaction-openrc-1.3.0-r2.apk | 1.6 KiB | 2024-04-07 00:50:26 |
lizardfs-bash-completion-3.13.0-r13.apk | 1.6 KiB | 2024-04-22 18:58:30 |
tpm2-pkcs11-dev-1.9.0-r1.apk | 1.6 KiB | 2023-04-22 10:54:27 |
pimd-openrc-3.0_git20220201-r0.apk | 1.6 KiB | 2022-03-06 10:30:40 |
rabbitmq-server-openrc-3.13.2-r0.apk | 1.6 KiB | 2024-05-01 07:58:12 |
llmnrd-openrc-0.7-r1.apk | 1.6 KiB | 2022-11-02 08:47:59 |
eiwd-openrc-2.16-r0.apk | 1.6 KiB | 2024-03-20 20:07:27 |
py3-sabctools-pyc-8.1.0-r1.apk | 1.6 KiB | 2024-04-15 21:52:01 |
libsirocco-dev-2.1.0-r2.apk | 1.6 KiB | 2023-08-01 12:38:03 |
flowd-openrc-0.9.1-r9.apk | 1.6 KiB | 2023-07-04 00:01:45 |
minisatip-openrc-1.3.4-r0.apk | 1.6 KiB | 2024-03-15 06:19:29 |
mitra-openrc-2.18.0-r0.apk | 1.6 KiB | 2024-05-08 05:29:44 |
pict-rs-openrc-0.5.13-r0.apk | 1.6 KiB | 2024-04-16 11:25:33 |
speedtest_exporter-openrc-0.3.2-r7.apk | 1.6 KiB | 2024-04-07 00:50:56 |
betula-openrc-1.1.0-r2.apk | 1.6 KiB | 2024-04-07 00:45:39 |
upterm-server-openrc-0.13.5-r0.apk | 1.6 KiB | 2024-05-06 21:28:37 |
olsrd-openrc-0.9.8-r2.apk | 1.6 KiB | 2022-11-02 08:48:42 |
mtg-openrc-2.1.7-r13.apk | 1.6 KiB | 2024-04-07 00:49:52 |
f_scripts-f_game-0.5-r2.apk | 1.7 KiB | 2023-09-11 20:17:47 |
otrs-openrc-6.0.48-r1.apk | 1.7 KiB | 2024-01-15 09:42:35 |
prometheus-bind-exporter-openrc-0.7.0-r3.apk | 1.7 KiB | 2024-04-07 00:50:11 |
conduit-openrc-0.7.0-r0.apk | 1.7 KiB | 2024-04-25 08:51:10 |
librespot-openrc-0.4.2-r4.apk | 1.7 KiB | 2023-08-01 04:56:01 |
empede-openrc-0.2.3-r0.apk | 1.7 KiB | 2024-01-07 01:53:34 |
json2tsv-jaq-1.1-r0.apk | 1.7 KiB | 2023-07-22 13:54:34 |
hwatch-zsh-completion-0.3.11-r0.apk | 1.7 KiB | 2024-03-18 01:01:31 |
soju-openrc-0.7.0-r2.apk | 1.7 KiB | 2024-04-07 00:50:51 |
mimir-openrc-2.11.0-r2.apk | 1.7 KiB | 2024-04-07 00:49:50 |
daktilo-fish-completion-0.6.0-r0.apk | 1.7 KiB | 2024-04-11 10:47:24 |
satellite-openrc-1.0.0-r20.apk | 1.7 KiB | 2024-04-07 00:50:39 |
prometheus-smartctl-exporter-openrc-0.12.0-r2.apk | 1.7 KiB | 2024-04-07 00:50:15 |
bestline-dev-0.0_git20211108-r0.apk | 1.7 KiB | 2022-02-13 08:17:11 |
prometheus-ceph-exporter-openrc-4.2.3-r1.apk | 1.7 KiB | 2024-04-07 00:50:12 |
tremc-bash-completion-0.9.3-r0.apk | 1.7 KiB | 2022-03-18 19:20:45 |
sthttpd-openrc-2.27.1-r2.apk | 1.7 KiB | 2022-11-02 08:49:20 |
perl-dns-unbound-asyncquery-promisexs-0.29-r0.apk | 1.7 KiB | 2024-04-16 17:38:49 |
ry-bash-completion-0.5.2-r1.apk | 1.7 KiB | 2022-11-02 08:49:08 |
sish-openrc-2.14.0-r1.apk | 1.7 KiB | 2024-04-07 00:50:48 |
dmarc-metrics-exporter-openrc-1.0.0-r2.apk | 1.7 KiB | 2024-04-15 21:50:55 |
zrepl-zsh-completion-0.6.1-r3.apk | 1.7 KiB | 2024-04-07 00:52:46 |
ma1sd-openrc-2.5.0-r3.apk | 1.7 KiB | 2024-05-07 20:17:29 |
woodpecker-openrc-2.3.0-r3.apk | 1.7 KiB | 2024-04-07 09:10:37 |
tang-openrc-14-r0.apk | 1.7 KiB | 2023-07-23 13:56:46 |
conntracct-openrc-0.2.7-r23.apk | 1.7 KiB | 2024-04-07 00:46:12 |
py3-slidge-style-parser-pyc-0.1.6-r1.apk | 1.7 KiB | 2024-04-15 21:52:02 |
wpaperd-bash-completion-0.3.0-r2.apk | 1.7 KiB | 2023-07-01 05:25:30 |
py3-lzo-pyc-1.16-r1.apk | 1.7 KiB | 2024-04-15 21:51:54 |
bees-openrc-0.10-r0.apk | 1.7 KiB | 2023-09-05 12:00:14 |
iipsrv-openrc-1.2-r0.apk | 1.7 KiB | 2023-10-05 05:25:00 |
hamster-time-tracker-bash-completion-3.0.3-r2.apk | 1.7 KiB | 2024-04-15 21:50:57 |
prosody-mod-require_otr-0.11_hg20201208-r0.apk | 1.7 KiB | 2020-12-08 21:10:45 |
xisxwayland-doc-2-r1.apk | 1.7 KiB | 2023-07-29 20:03:01 |
maddy-openrc-0.7.1-r2.apk | 1.7 KiB | 2024-04-07 00:49:27 |
pully-openrc-1.0.0-r0.apk | 1.7 KiB | 2022-02-27 20:44:59 |
thanos-openrc-0.31.0-r5.apk | 1.7 KiB | 2024-04-07 00:51:19 |
mautrix-discord-openrc-0.6.5-r3.apk | 1.7 KiB | 2024-05-07 20:17:29 |
mautrix-slack-openrc-0_git20230925-r3.apk | 1.7 KiB | 2024-05-07 20:17:31 |
turn-rs-openrc-2.1.3-r0.apk | 1.7 KiB | 2024-04-18 14:04:17 |
py3-keepalive-doc-0.5-r5.apk | 1.7 KiB | 2024-04-14 20:33:58 |
perl-lv-backend-sentinel-0.006-r0.apk | 1.7 KiB | 2024-01-18 23:48:19 |
geomyidae-openrc-0.34-r2.apk | 1.7 KiB | 2022-11-02 08:47:12 |
lizardfs-cgiserv-openrc-3.13.0-r13.apk | 1.7 KiB | 2024-04-22 18:58:30 |
mautrix-gmessages-openrc-0.4.0-r1.apk | 1.7 KiB | 2024-05-07 20:17:30 |
nmap-parse-output-bash-completion-1.5.1-r0.apk | 1.7 KiB | 2022-06-12 21:55:08 |
gortr-openrc-0.14.8-r5.apk | 1.7 KiB | 2024-04-07 00:47:43 |
wpaperd-zsh-completion-0.3.0-r2.apk | 1.7 KiB | 2023-07-01 05:25:30 |
py3-sphinx-theme-better-pyc-0.1.5-r6.apk | 1.7 KiB | 2024-04-15 21:52:02 |
exercism-bash-completion-3.2.0-r4.apk | 1.7 KiB | 2024-04-07 00:47:11 |
cri-o-openrc-1.29.1-r2.apk | 1.7 KiB | 2024-04-07 00:46:18 |
tealdeer-bash-completion-1.6.1-r2.apk | 1.7 KiB | 2023-07-01 05:25:22 |
projectm-pulseaudio-doc-3.1.12-r2.apk | 1.7 KiB | 2024-05-09 03:06:13 |
prometheus-unbound-exporter-openrc-0.4.1-r5.apk | 1.7 KiB | 2024-04-07 00:50:18 |
filebeat-openrc-8.13.3-r0.apk | 1.8 KiB | 2024-05-03 19:45:01 |
stayrtr-openrc-0.5.1-r2.apk | 1.8 KiB | 2024-04-07 00:51:01 |
ddnrs-openrc-0.2.0-r0.apk | 1.8 KiB | 2024-01-19 03:12:23 |
downloader-cli-0.3.4-r1.apk | 1.8 KiB | 2024-04-15 21:50:55 |
rage-fish-completion-0.9.2-r1.apk | 1.8 KiB | 2023-07-01 05:25:16 |
lazymc-openrc-0.2.11-r0.apk | 1.8 KiB | 2024-03-24 16:10:55 |
prosody-mod-block_registrations-0.11_hg20201208-r0.apk | 1.8 KiB | 2020-12-08 21:10:45 |
prosody-mod-log_auth-0.11_hg20201208-r0.apk | 1.8 KiB | 2020-12-08 21:10:45 |
mautrix-meta-openrc-0.3.0-r1.apk | 1.8 KiB | 2024-05-07 20:17:30 |
msh-openrc-2.5.0-r4.apk | 1.8 KiB | 2024-04-07 00:49:51 |
moosefs-cgiserv-openrc-3.0.117-r1.apk | 1.8 KiB | 2023-06-17 21:33:41 |
peervpn-openrc-0.044-r5.apk | 1.8 KiB | 2022-08-04 06:44:43 |
libuninameslist-doc-20230916-r0.apk | 1.8 KiB | 2023-09-18 04:50:58 |
py3-editdistance-s-pyc-1.0.0-r5.apk | 1.8 KiB | 2024-04-15 21:51:33 |
atool-bash-completion-0.39.0-r4.apk | 1.8 KiB | 2022-11-02 08:46:34 |
metricbeat-openrc-8.13.3-r0.apk | 1.8 KiB | 2024-05-03 19:45:05 |
utop-common-2.9.1-r4.apk | 1.8 KiB | 2024-04-04 10:21:27 |
py3-pyvows-doc-3.0.0-r4.apk | 1.8 KiB | 2024-04-15 21:52:01 |
firehol-openrc-3.1.7-r2.apk | 1.8 KiB | 2023-05-12 18:07:34 |
prometheus-smokeping-prober-openrc-0.7.1-r4.apk | 1.8 KiB | 2024-04-07 00:50:16 |
ssh-honeypot-openrc-0.1.1-r1.apk | 1.8 KiB | 2023-05-15 20:51:59 |
listenbrainz-mpd-zsh-completion-2.3.5-r0.apk | 1.8 KiB | 2024-04-24 06:11:43 |
yarr-openrc-2.4-r5.apk | 1.8 KiB | 2024-04-07 00:52:32 |
spacectl-bash-completion-0.30.0-r2.apk | 1.8 KiB | 2024-04-07 00:50:54 |
openswitcher-proxy-openrc-0.5.0-r3.apk | 1.8 KiB | 2024-04-15 21:51:23 |
tailspin-fish-completion-3.0.0-r0.apk | 1.8 KiB | 2024-02-03 22:36:14 |
alps-openrc-0_git20230807-r3.apk | 1.8 KiB | 2024-04-07 00:45:35 |
lomiri-indicator-network-doc-1.0.2-r0.apk | 1.8 KiB | 2024-02-06 23:41:36 |
apmpkg-fish-completion-1.5.1-r3.apk | 1.8 KiB | 2023-07-01 05:24:44 |
prosody-mod-auth_pam-0.11_hg20201208-r0.apk | 1.8 KiB | 2020-12-08 21:10:45 |
rezolus-openrc-2.11.1-r3.apk | 1.8 KiB | 2023-05-24 07:48:24 |
yazi-bash-completion-0.2.5-r0.apk | 1.8 KiB | 2024-04-28 22:24:57 |
strfry-openrc-0.9.6-r0.apk | 1.8 KiB | 2024-01-25 17:01:41 |
dasht-zsh-completion-2.4.0-r0.apk | 1.8 KiB | 2023-12-24 03:12:55 |
pithos-doc-1.6.1-r0.apk | 1.8 KiB | 2023-05-30 21:22:33 |
kondo-fish-completion-0.8-r0.apk | 1.8 KiB | 2023-12-20 22:45:54 |
wk-adblock-doc-0.0.4-r5.apk | 1.8 KiB | 2023-05-24 07:48:30 |
yazi-zsh-completion-0.2.5-r0.apk | 1.8 KiB | 2024-04-28 22:24:57 |
viewnior-doc-1.8-r1.apk | 1.8 KiB | 2023-06-15 06:35:23 |
p910nd-openrc-0.97-r2.apk | 1.8 KiB | 2022-06-04 12:38:57 |
kondo-bash-completion-0.8-r0.apk | 1.8 KiB | 2023-12-20 22:45:54 |
listenbrainz-mpd-bash-completion-2.3.5-r0.apk | 1.8 KiB | 2024-04-24 06:11:43 |
nvim-cmp-cmdline-doc-0.0.0_git20220902-r0.apk | 1.8 KiB | 2022-10-13 20:59:39 |
minidyndns-openrc-1.3.0-r3.apk | 1.8 KiB | 2021-10-20 03:31:50 |
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk | 1.8 KiB | 2024-04-15 21:52:03 |
clevis-bash-completion-19-r0.apk | 1.8 KiB | 2023-01-29 19:28:11 |
perl-lv-backend-magic-0.006-r0.apk | 1.8 KiB | 2024-01-18 23:48:19 |
prosody-mod-broadcast-0.11_hg20201208-r0.apk | 1.8 KiB | 2020-12-08 21:10:45 |
docker-auth-openrc-1.11.0-r7.apk | 1.9 KiB | 2024-04-07 00:46:50 |
f_scripts-f_maps-0.5-r2.apk | 1.9 KiB | 2023-09-11 20:17:47 |
zot-openrc-2.0.4-r1.apk | 1.9 KiB | 2024-05-09 22:17:33 |
dstask-bash-completion-0.26-r6.apk | 1.9 KiB | 2024-04-07 00:47:03 |
liblinbox-doc-1.7.0-r3.apk | 1.9 KiB | 2023-08-01 12:38:02 |
aero2solver-openrc-1.0.0-r0.apk | 1.9 KiB | 2023-11-16 13:04:11 |
bordeaux-openrc-0.8.1-r0.apk | 1.9 KiB | 2024-02-28 00:46:15 |
mdnsd-openrc-0.12-r1.apk | 1.9 KiB | 2023-05-15 20:51:52 |
zapret-openrc-0.0.0_git20220125-r0.apk | 1.9 KiB | 2022-02-02 11:47:44 |
hitide-openrc-0.15.0-r0.apk | 1.9 KiB | 2024-03-29 01:23:23 |
qtpass-doc-1.4.0-r0.apk | 1.9 KiB | 2023-11-02 21:46:06 |
py3-pypubsub-doc-4.0.3-r0.apk | 1.9 KiB | 2024-05-05 05:25:01 |
lxd-feature-scripts-5.20-r2.apk | 1.9 KiB | 2024-04-07 00:49:24 |
etcd-openrc-3.5.13-r1.apk | 1.9 KiB | 2024-04-07 00:47:10 |
xkb-switch-doc-1.8.5-r0.apk | 1.9 KiB | 2021-12-11 18:07:35 |
exercism-zsh-completion-3.2.0-r4.apk | 1.9 KiB | 2024-04-07 00:47:11 |
grommunio-admin-api-bash-completion-1.14-r0.apk | 1.9 KiB | 2024-04-26 06:32:52 |
cherrytree-doc-1.1.2-r0.apk | 1.9 KiB | 2024-04-09 23:14:07 |
oauth2-proxy-openrc-7.6.0-r3.apk | 1.9 KiB | 2024-04-07 00:50:00 |
daktilo-bash-completion-0.6.0-r0.apk | 1.9 KiB | 2024-04-11 10:47:24 |
ydcv-zsh-completion-0.7-r7.apk | 1.9 KiB | 2024-04-15 21:52:08 |
nano-hare-0_git20231021-r0.apk | 1.9 KiB | 2024-01-31 16:23:25 |
crosstool-ng-bash-completion-1.25.0-r0.apk | 1.9 KiB | 2022-12-30 12:27:34 |
apmpkg-bash-completion-1.5.1-r3.apk | 1.9 KiB | 2023-07-01 05:24:44 |
soundfont-vintage-dreams-waves-doc-2.1-r1.apk | 1.9 KiB | 2022-04-17 06:28:54 |
flare-game-1.14-r0.apk | 1.9 KiB | 2023-01-26 19:29:02 |
mint-themes-2.1.1-r0.apk | 1.9 KiB | 2023-06-12 15:01:21 |
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk | 1.9 KiB | 2023-08-22 10:23:18 |
satty-fish-completion-0.12.0-r0.apk | 1.9 KiB | 2024-04-05 01:42:56 |
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk | 2.0 KiB | 2024-04-15 21:52:03 |
planner-doc-0.14.92-r0.apk | 2.0 KiB | 2024-01-08 09:44:32 |
flameshot-bash-completion-12.1.0-r3.apk | 2.0 KiB | 2023-10-08 10:48:28 |
tealdeer-fish-completion-1.6.1-r2.apk | 2.0 KiB | 2023-07-01 05:25:22 |
prosody-mod-ipcheck-0.11_hg20201208-r0.apk | 2.0 KiB | 2020-12-08 21:10:45 |
wf-shell-doc-0.8.1-r0.apk | 2.0 KiB | 2024-03-15 06:19:32 |
wpa_actiond-openrc-1.4-r7.apk | 2.0 KiB | 2022-11-02 08:49:39 |
syncthing-gtk-doc-0.9.4.5-r1.apk | 2.0 KiB | 2024-04-15 21:52:07 |
ddgr-bash-completion-2.2-r0.apk | 2.0 KiB | 2024-01-14 20:26:44 |
libideviceactivation-doc-1.1.1-r4.apk | 2.0 KiB | 2023-05-15 02:29:48 |
py3-flake8-todo-pyc-0.7-r7.apk | 2.0 KiB | 2024-04-15 21:51:33 |
tailspin-bash-completion-3.0.0-r0.apk | 2.0 KiB | 2024-02-03 22:36:14 |
xandikos-openrc-0.2.11-r1.apk | 2.0 KiB | 2024-04-15 21:52:08 |
stardict-doc-3.0.6-r6.apk | 2.0 KiB | 2023-04-29 21:47:35 |
gamja-doc-1.0.0_beta9-r0.apk | 2.0 KiB | 2023-11-26 22:36:59 |
aero2solver-doc-1.0.0-r0.apk | 2.0 KiB | 2023-11-16 13:04:11 |
pegtl-doc-3.2.7-r0.apk | 2.0 KiB | 2023-09-21 21:54:42 |
qt-jdenticon-doc-0.3.0-r0.apk | 2.0 KiB | 2024-04-27 21:46:53 |
yazi-doc-0.2.5-r0.apk | 2.0 KiB | 2024-04-28 22:24:57 |
svls-doc-0.2.11-r0.apk | 2.0 KiB | 2024-01-03 19:03:31 |
tabby-doc-3.1-r1.apk | 2.0 KiB | 2023-11-25 23:42:13 |
satty-bash-completion-0.12.0-r0.apk | 2.0 KiB | 2024-04-05 01:42:56 |
ry-zsh-completion-0.5.2-r1.apk | 2.0 KiB | 2022-11-02 08:49:08 |
vale-doc-3.4.2-r0.apk | 2.0 KiB | 2024-05-01 12:52:36 |
drogon-doc-1.9.4-r0.apk | 2.0 KiB | 2024-05-05 21:25:56 |
prosody-mod-reload_modules-0.11_hg20201208-r0.apk | 2.0 KiB | 2020-12-08 21:10:45 |
tree-sitter-scheme-doc-0.6.0-r0.apk | 2.0 KiB | 2023-11-20 00:29:30 |
helm-ls-doc-0.0.12-r1.apk | 2.0 KiB | 2024-04-07 00:47:53 |
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk | 2.0 KiB | 2020-12-08 21:10:45 |
tree-sitter-nix-doc-0_git20230713-r0.apk | 2.0 KiB | 2023-11-19 02:32:23 |
motion-openrc-4.6.0-r0.apk | 2.0 KiB | 2023-11-13 22:52:34 |
helmfile-doc-0.162.0-r2.apk | 2.0 KiB | 2024-04-07 00:48:01 |
tmpl-doc-0.4.0-r3.apk | 2.0 KiB | 2024-04-07 00:51:22 |
daktilo-zsh-completion-0.6.0-r0.apk | 2.0 KiB | 2024-04-11 10:47:24 |
py-spy-doc-0.3.14-r3.apk | 2.0 KiB | 2023-07-01 05:25:16 |
cyrus-sasl-xoauth2-doc-0.2-r1.apk | 2.0 KiB | 2023-05-15 20:51:32 |
imgdiff-doc-1.0.2-r18.apk | 2.0 KiB | 2024-04-07 00:48:11 |
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk | 2.0 KiB | 2020-12-08 21:10:45 |
wlopm-doc-0.1.0-r0.apk | 2.0 KiB | 2022-06-28 15:08:59 |
dnscontrol-doc-4.10.0-r0.apk | 2.0 KiB | 2024-05-07 06:27:28 |
copyq-bash-completion-8.0.0-r0.apk | 2.0 KiB | 2024-03-22 07:47:06 |
mml-fish-completion-1.0.0-r0.apk | 2.0 KiB | 2023-11-10 23:48:18 |
tree-sitter-xml-doc-0.6.2-r0.apk | 2.0 KiB | 2024-03-25 19:57:48 |
gx-go-doc-1.9.0-r24.apk | 2.0 KiB | 2024-04-07 00:47:50 |
tree-sitter-markdown-doc-0.2.3-r0.apk | 2.0 KiB | 2024-03-22 22:10:51 |
prosody-mod-support_contact-0.11_hg20201208-r0.apk | 2.0 KiB | 2020-12-08 21:10:45 |
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk | 2.0 KiB | 2023-11-29 08:19:23 |
nvim-cmp-path-doc-0.0.0_git20221002-r0.apk | 2.0 KiB | 2022-10-13 20:59:39 |
py3-python-logstash-doc-0.4.8-r3.apk | 2.0 KiB | 2024-04-15 21:52:00 |
sentinel-proxy-openrc-2.1.0-r0.apk | 2.0 KiB | 2023-11-18 17:33:12 |
tree-sitter-caddy-doc-0_git20230322-r0.apk | 2.0 KiB | 2023-12-16 02:06:30 |
gx-doc-0.14.3-r22.apk | 2.0 KiB | 2024-04-07 00:47:49 |
empede-doc-0.2.3-r0.apk | 2.0 KiB | 2024-01-07 01:53:34 |
powerstat-bash-completion-0.04.01-r0.apk | 2.0 KiB | 2024-01-03 12:58:17 |
ouch-bash-completion-0.5.1-r0.apk | 2.0 KiB | 2023-12-24 18:42:43 |
ergo-ldap-doc-0.0.1-r9.apk | 2.0 KiB | 2024-04-07 00:47:07 |
spacectl-doc-0.30.0-r2.apk | 2.0 KiB | 2024-04-07 00:50:54 |
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk | 2.0 KiB | 2020-12-08 21:10:45 |
faultstat-bash-completion-0.01.11-r0.apk | 2.0 KiB | 2024-01-13 12:40:33 |
bootterm-dbg-0.5-r0.apk | 2.0 KiB | 2024-05-09 18:31:06 |
innernet-openrc-1.6.1-r0.apk | 2.0 KiB | 2024-02-23 19:45:55 |
bgs-doc-0.8-r1.apk | 2.0 KiB | 2022-11-02 08:46:35 |
ddgr-fish-completion-2.2-r0.apk | 2.0 KiB | 2024-01-14 20:26:44 |
pnmixer-doc-0.7.2-r3.apk | 2.0 KiB | 2023-10-11 17:35:47 |
perl-promise-es6-future-0.28-r0.apk | 2.0 KiB | 2024-01-19 00:19:06 |
exabgp-openrc-4.2.21-r4.apk | 2.0 KiB | 2024-04-15 21:50:55 |
gmid-openrc-2.0.2-r0.apk | 2.0 KiB | 2024-04-05 23:22:48 |
mml-bash-completion-1.0.0-r0.apk | 2.0 KiB | 2023-11-10 23:48:18 |
httpie-oauth-pyc-1.0.2-r9.apk | 2.0 KiB | 2024-04-15 21:51:02 |
php81-pecl-imagick-dev-3.7.0-r5.apk | 2.0 KiB | 2024-04-10 23:39:35 |
libtins-doc-4.5-r1.apk | 2.1 KiB | 2024-04-22 18:58:30 |
theme.sh-doc-1.1.5-r0.apk | 2.1 KiB | 2023-09-05 09:47:54 |
dublin-traceroute-doc-0.4.2-r3.apk | 2.1 KiB | 2023-09-16 21:20:51 |
mint-x-theme-2.1.1-r0.apk | 2.1 KiB | 2023-06-12 15:01:21 |
autorandr-bash-completion-1.15-r0.apk | 2.1 KiB | 2024-03-16 12:36:56 |
rage-zsh-completion-0.9.2-r1.apk | 2.1 KiB | 2023-07-01 05:25:16 |
slidge-openrc-0.1.0-r1.apk | 2.1 KiB | 2024-04-15 21:52:07 |
py-spy-bash-completion-0.3.14-r3.apk | 2.1 KiB | 2023-07-01 05:25:16 |
xandikos-doc-0.2.11-r1.apk | 2.1 KiB | 2024-04-15 21:52:08 |
nvim-cmp-luasnip-doc-0.0.0_git20220501-r0.apk | 2.1 KiB | 2022-08-13 14:26:08 |
json2tsv-jaq-doc-1.1-r0.apk | 2.1 KiB | 2023-07-22 13:54:34 |
spampd-openrc-2.61-r1.apk | 2.1 KiB | 2022-10-02 14:57:53 |
perl-dns-unbound-anyevent-0.29-r0.apk | 2.1 KiB | 2024-04-16 17:38:49 |
py3-sphinx-theme-bootstrap-pyc-0.8.1-r3.apk | 2.1 KiB | 2024-04-15 21:52:02 |
ouch-fish-completion-0.5.1-r0.apk | 2.1 KiB | 2023-12-24 18:42:43 |
gaupol-doc-1.12-r2.apk | 2.1 KiB | 2024-04-15 21:50:56 |
pongoos-loader-0_git20210704-r1.apk | 2.1 KiB | 2022-11-02 08:48:54 |
soapy-sdr-remote-doc-0.5.2-r1.apk | 2.1 KiB | 2023-07-29 20:02:55 |
tealdeer-zsh-completion-1.6.1-r2.apk | 2.1 KiB | 2023-07-01 05:25:22 |
maddy-doc-0.7.1-r2.apk | 2.1 KiB | 2024-04-07 00:49:27 |
forgejo-runner-openrc-3.4.1-r1.apk | 2.1 KiB | 2024-04-07 00:47:31 |
ifuse-doc-1.1.4-r4.apk | 2.1 KiB | 2023-08-13 19:16:21 |
f_scripts-f_timer-0.5-r2.apk | 2.1 KiB | 2023-09-11 20:17:47 |
ptpd-openrc-2.3.1-r1.apk | 2.1 KiB | 2022-11-02 08:48:58 |
maxima-bash-completion-5.47.0-r7.apk | 2.1 KiB | 2024-04-19 06:22:42 |
btfs-doc-2.24-r12.apk | 2.1 KiB | 2024-04-22 18:58:06 |
vectoroids-doc-1.1.0-r1.apk | 2.1 KiB | 2022-01-05 22:07:28 |
rage-bash-completion-0.9.2-r1.apk | 2.1 KiB | 2023-07-01 05:25:16 |
exercism-fish-completion-3.2.0-r4.apk | 2.1 KiB | 2024-04-07 00:47:11 |
nicotine-plus-doc-3.3.2-r1.apk | 2.1 KiB | 2024-04-15 21:51:20 |
otrs-bash-completion-6.0.48-r1.apk | 2.2 KiB | 2024-01-15 09:42:35 |
openwsman-doc-2.7.2-r4.apk | 2.2 KiB | 2024-04-15 21:51:24 |
clipit-doc-1.4.5-r2.apk | 2.2 KiB | 2023-04-17 16:09:49 |
ocp-indent-vim-1.8.2-r2.apk | 2.2 KiB | 2024-03-24 02:12:55 |
lottieconverter-doc-0.2_git20231219-r0.apk | 2.2 KiB | 2023-12-19 22:23:44 |
somebar-doc-1.0.3-r0.apk | 2.2 KiB | 2023-06-04 18:00:03 |
ghq-zsh-completion-1.6.1-r0.apk | 2.2 KiB | 2024-05-05 05:24:56 |
perl-dns-unbound-ioasync-0.29-r0.apk | 2.2 KiB | 2024-04-16 17:38:49 |
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk | 2.2 KiB | 2020-12-08 21:10:45 |
apmpkg-zsh-completion-1.5.1-r3.apk | 2.2 KiB | 2023-07-01 05:24:44 |
xdg-desktop-portal-hyprland-doc-1.3.1-r2.apk | 2.2 KiB | 2024-03-15 06:19:32 |
kondo-zsh-completion-0.8-r0.apk | 2.2 KiB | 2023-12-20 22:45:54 |
py3-flask-headers-pyc-1.0-r9.apk | 2.2 KiB | 2024-04-15 21:51:35 |
tailspin-zsh-completion-3.0.0-r0.apk | 2.2 KiB | 2024-02-03 22:36:14 |
ghq-fish-completion-1.6.1-r0.apk | 2.2 KiB | 2024-05-05 05:24:56 |
flare-engine-doc-1.14-r0.apk | 2.2 KiB | 2023-01-26 19:29:02 |
lsdvd-doc-0.17-r0.apk | 2.2 KiB | 2023-01-31 20:08:15 |
seed7-nano-05.20240322-r0.apk | 2.2 KiB | 2024-03-24 13:31:52 |
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk | 2.2 KiB | 2024-04-15 21:52:02 |
lxd-feature-openrc-5.20-r2.apk | 2.2 KiB | 2024-04-07 00:49:24 |
grommunio-gromox-openrc-2.27-r0.apk | 2.2 KiB | 2024-04-26 06:32:58 |
frescobaldi-doc-3.3.0-r1.apk | 2.2 KiB | 2024-04-15 21:50:56 |
policycoreutils-bash-completion-3.6-r0.apk | 2.2 KiB | 2024-01-08 09:44:33 |
bwrap-oci-doc-0.2-r1.apk | 2.2 KiB | 2022-11-02 08:46:38 |
ideviceinstaller-doc-1.1.1-r3.apk | 2.2 KiB | 2023-05-15 02:29:48 |
f_scripts-f_audio-0.5-r2.apk | 2.2 KiB | 2023-09-11 20:17:47 |
xfce4-mixer-doc-4.18.1-r2.apk | 2.2 KiB | 2023-10-31 06:57:49 |
xlhtml-doc-0.5.1-r0.apk | 2.2 KiB | 2024-04-01 18:10:17 |
perl-promise-es6-anyevent-0.28-r0.apk | 2.3 KiB | 2024-01-19 00:19:06 |
f_scripts-f_theme-0.5-r2.apk | 2.3 KiB | 2023-09-11 20:17:47 |
freshrss-openrc-1.23.1-r1.apk | 2.3 KiB | 2024-03-18 06:44:28 |
slurm-doc-0.4.4-r0.apk | 2.3 KiB | 2022-05-02 00:14:55 |
stw-doc-0.3-r0.apk | 2.3 KiB | 2023-03-06 14:44:23 |
libcotp-dev-2.0.2-r0.apk | 2.3 KiB | 2023-10-28 09:40:56 |
udpcast-dev-20230924-r1.apk | 2.3 KiB | 2024-04-16 11:27:25 |
lsd-bash-completion-1.1.1-r0.apk | 2.3 KiB | 2024-03-25 23:03:45 |
perl-datetime-timezone-alias-0.06-r0.apk | 2.3 KiB | 2024-02-24 12:50:42 |
satty-zsh-completion-0.12.0-r0.apk | 2.3 KiB | 2024-04-05 01:42:56 |
f_scripts-f_rss-0.5-r2.apk | 2.3 KiB | 2023-09-11 20:17:47 |
py3-eradicate-doc-2.3.0-r1.apk | 2.3 KiB | 2024-04-15 21:51:33 |
xgalaga-doc-2.1.1.0-r1.apk | 2.3 KiB | 2022-11-02 08:49:40 |
alarmwakeup-dev-0.2.1-r0.apk | 2.3 KiB | 2023-10-06 06:01:43 |
tcmu-runner-doc-1.6.0-r5.apk | 2.3 KiB | 2023-09-01 08:03:19 |
tup-vim-0.7.11-r0.apk | 2.3 KiB | 2023-03-06 13:17:38 |
py-spy-fish-completion-0.3.14-r3.apk | 2.3 KiB | 2023-07-01 05:25:16 |
ol-doc-2.4-r0.apk | 2.3 KiB | 2023-03-28 07:53:26 |
perl-promise-es6-mojo-ioloop-0.28-r0.apk | 2.3 KiB | 2024-01-19 00:19:06 |
scooper-doc-1.3-r1.apk | 2.3 KiB | 2023-05-15 20:51:56 |
idevicerestore-doc-1.0.0-r3.apk | 2.3 KiB | 2023-05-27 09:50:49 |
py3-flake8-blind-except-pyc-0.2.1-r4.apk | 2.3 KiB | 2024-04-15 21:51:33 |
laze-fish-completion-0.1.21-r0.apk | 2.3 KiB | 2024-02-13 22:23:03 |
mpdris2-lang-0.9.1-r3.apk | 2.3 KiB | 2022-07-27 23:56:33 |
jitsi-videobridge-openrc-2.3.67-r0.apk | 2.3 KiB | 2024-03-28 20:30:57 |
py3-bottle-request-pyc-0.2.0-r9.apk | 2.3 KiB | 2024-04-15 21:51:29 |
rsstail-doc-2.1-r1.apk | 2.3 KiB | 2022-11-02 08:49:08 |
xcompmgr-doc-1.1.9-r0.apk | 2.3 KiB | 2022-11-12 20:48:36 |
trantor-doc-1.5.18-r0.apk | 2.4 KiB | 2024-05-05 05:25:03 |
lxappearance-doc-0.6.3-r3.apk | 2.4 KiB | 2023-05-29 03:20:28 |
azorius-openrc-0.3.4-r2.apk | 2.4 KiB | 2024-04-07 00:45:38 |
faust-vim-2.60.3-r2.apk | 2.4 KiB | 2023-06-29 02:17:48 |
powerline-extra-symbols-doc-0_git20191017-r0.apk | 2.4 KiB | 2021-03-21 06:00:32 |
kirc-doc-0.3.2-r0.apk | 2.4 KiB | 2023-05-23 12:48:36 |
py3-luhn-pyc-0.2.0-r8.apk | 2.4 KiB | 2024-04-15 21:51:54 |
lomiri-terminal-app-doc-2.0.2-r0.apk | 2.4 KiB | 2024-02-07 12:43:39 |
xsoldier-doc-1.8-r1.apk | 2.4 KiB | 2022-11-02 08:49:40 |
mame-common-0.251-r0.apk | 2.4 KiB | 2023-02-22 11:07:48 |
endlessh-doc-1.1-r0.apk | 2.4 KiB | 2022-02-20 18:54:13 |
nvim-cmp-lsp-doc-0.0.0_git20220516-r0.apk | 2.4 KiB | 2022-06-15 21:16:37 |
cri-o-bash-completion-1.29.1-r2.apk | 2.4 KiB | 2024-04-07 00:46:18 |
sxcs-doc-1.0.0-r0.apk | 2.4 KiB | 2024-02-18 01:32:32 |
release-plz-bash-completion-0.3.65-r0.apk | 2.4 KiB | 2024-05-06 14:08:41 |
py3-visitor-pyc-0.1.3-r6.apk | 2.4 KiB | 2024-04-15 21:52:05 |
wch-isp-doc-0.4.1-r1.apk | 2.4 KiB | 2024-01-19 18:40:42 |
mpop-vim-1.4.18-r0.apk | 2.4 KiB | 2023-01-31 22:01:29 |
ddgr-zsh-completion-2.2-r0.apk | 2.4 KiB | 2024-01-14 20:26:44 |
py3-unidns-examples-0.0.1-r2.apk | 2.4 KiB | 2024-04-15 21:52:05 |
laze-bash-completion-0.1.21-r0.apk | 2.4 KiB | 2024-02-13 22:23:03 |
tailspin-doc-3.0.0-r0.apk | 2.4 KiB | 2024-02-03 22:36:14 |
perl-dns-unbound-mojo-0.29-r0.apk | 2.4 KiB | 2024-04-16 17:38:49 |
py3-igraph-dev-0.11.4-r1.apk | 2.4 KiB | 2024-04-15 21:51:36 |
perl-uri-tcp-2.0.0-r0.apk | 2.5 KiB | 2024-01-15 21:00:53 |
ip2location-doc-8.6.1-r0.apk | 2.5 KiB | 2023-06-06 19:00:07 |
perl-template-plugin-csv-0.04-r3.apk | 2.5 KiB | 2023-07-04 00:01:46 |
virtme-ng-bash-completion-1.24-r0.apk | 2.5 KiB | 2024-05-08 07:08:24 |
h4h5tools-doc-2.2.5-r3.apk | 2.5 KiB | 2024-05-05 05:24:56 |
pomo-doc-0.8.1-r15.apk | 2.5 KiB | 2024-04-07 00:50:05 |
perl-net-curl-promiser-anyevent-0.20-r0.apk | 2.5 KiB | 2024-02-05 16:12:54 |
fzy-doc-1.0-r3.apk | 2.5 KiB | 2022-11-02 08:47:11 |
quodlibet-zsh-completion-4.6.0-r1.apk | 2.5 KiB | 2024-04-15 21:52:06 |
b2sum-doc-20190729-r2.apk | 2.5 KiB | 2022-11-02 08:46:34 |
perl-rxperl-anyevent-6.8.1-r0.apk | 2.5 KiB | 2024-01-19 03:45:43 |
beard-doc-0.4-r0.apk | 2.5 KiB | 2022-08-28 17:05:21 |
mktorrent-borg-doc-0.9.9-r1.apk | 2.5 KiB | 2022-08-04 06:44:22 |
barnyard2-openrc-2.1.14_git20160413-r1.apk | 2.5 KiB | 2022-11-02 08:46:34 |
perl-rxperl-mojo-6.8.1-r0.apk | 2.5 KiB | 2024-01-19 03:45:43 |
timew-bash-completion-1.4.3-r1.apk | 2.5 KiB | 2022-11-02 08:49:24 |
lfm-doc-3.1-r4.apk | 2.5 KiB | 2024-04-15 21:51:05 |
f_scripts-f_youtube-0.5-r2.apk | 2.5 KiB | 2023-09-11 20:17:47 |
wayfire-doc-0.8.1-r0.apk | 2.5 KiB | 2024-03-15 06:19:31 |
py3-utc-pyc-0.0.3-r8.apk | 2.5 KiB | 2024-04-15 21:52:05 |
dfu-programmer-bash-completion-1.1.0-r0.apk | 2.5 KiB | 2023-07-10 20:18:47 |
pully-1.0.0-r0.apk | 2.5 KiB | 2022-02-27 20:44:59 |
apulse-doc-0.1.13-r1.apk | 2.5 KiB | 2022-11-02 08:46:34 |
py3-pytap2-doc-2.3.0-r0.apk | 2.5 KiB | 2024-05-09 03:06:13 |
py3-untokenize-pyc-0.1.1-r3.apk | 2.5 KiB | 2024-04-15 21:52:05 |
nb-fish-completion-7.12.1-r0.apk | 2.5 KiB | 2024-02-23 23:36:13 |
mangr0ve-0.1.2-r0.apk | 2.5 KiB | 2024-01-29 02:19:55 |
gatling-openrc-0.16-r4.apk | 2.5 KiB | 2023-08-07 20:54:23 |
hunspell-es-ar-doc-2.7-r0.apk | 2.6 KiB | 2023-06-05 14:06:20 |
ustream-ssl-dev-20220116-r1.apk | 2.6 KiB | 2022-08-04 14:28:31 |
opensm-openrc-3.3.24-r2.apk | 2.6 KiB | 2023-05-15 20:51:54 |
py3-flask-gzip-pyc-0.2-r8.apk | 2.6 KiB | 2024-04-15 21:51:35 |
perl-rxperl-ioasync-6.9.1-r0.apk | 2.6 KiB | 2024-01-19 03:45:43 |
mml-zsh-completion-1.0.0-r0.apk | 2.6 KiB | 2023-11-10 23:48:18 |
py3-timeago-doc-1.0.16-r0.apk | 2.6 KiB | 2024-05-05 05:25:01 |
gpa-doc-0.10.0-r2.apk | 2.6 KiB | 2022-11-02 08:47:17 |
coccinelle-bash-completion-1.1.1-r2.apk | 2.6 KiB | 2024-04-18 11:10:44 |
nvim-gruvbox-doc-0.0.0_git20221212-r0.apk | 2.6 KiB | 2022-12-18 18:18:11 |
git-extras-bash-completion-7.1.0-r0.apk | 2.6 KiB | 2023-10-30 10:34:43 |
ouch-zsh-completion-0.5.1-r0.apk | 2.6 KiB | 2023-12-24 18:42:43 |
zsh-manydots-magic-0_git20230607-r1.apk | 2.6 KiB | 2023-08-11 23:39:12 |
sacc-doc-1.07-r0.apk | 2.6 KiB | 2023-11-02 11:01:57 |
flann-doc-1.9.1-r4.apk | 2.6 KiB | 2022-07-26 07:50:42 |
git-quick-stats-doc-2.5.5-r0.apk | 2.6 KiB | 2024-04-29 16:36:04 |
pounce-openrc-3.1-r3.apk | 2.6 KiB | 2024-01-03 14:08:31 |
pxmenu-1.0.0-r1.apk | 2.6 KiB | 2023-06-01 20:37:11 |
desed-doc-1.2.1-r1.apk | 2.6 KiB | 2023-05-24 07:48:16 |
tremc-doc-0.9.3-r0.apk | 2.6 KiB | 2022-03-18 19:20:45 |
pxalarm-3.0.0-r0.apk | 2.6 KiB | 2024-05-10 01:53:15 |
miraclecast-bash-completion-1.0_git20221016-r0.apk | 2.6 KiB | 2022-10-21 21:53:43 |
mpdcron-zsh-completion-0.3-r1.apk | 2.6 KiB | 2022-11-02 08:48:37 |
dublin-traceroute-contrib-0.4.2-r3.apk | 2.6 KiB | 2023-09-16 21:20:51 |
nsnake-doc-3.0.0-r0.apk | 2.6 KiB | 2022-04-15 12:08:48 |
f_scripts-f_web-0.5-r2.apk | 2.6 KiB | 2023-09-11 20:17:47 |
prosody-mod-webpresence-0.11_hg20201208-r0.apk | 2.6 KiB | 2020-12-08 21:10:45 |
flameshot-zsh-completion-12.1.0-r3.apk | 2.6 KiB | 2023-10-08 10:48:28 |
gtklock-doc-2.1.0-r0.apk | 2.6 KiB | 2023-07-18 20:21:56 |
lomiri-location-service-doc-3.1.0-r0.apk | 2.6 KiB | 2023-11-14 01:08:39 |
prosody-mod-auth_sql-0.11_hg20201208-r0.apk | 2.6 KiB | 2020-12-08 21:10:45 |
createrepo_c-bash-completion-1.0.2-r1.apk | 2.6 KiB | 2024-04-15 21:50:55 |
nkk-dev-0_git20221010-r0.apk | 2.6 KiB | 2023-02-23 19:40:03 |
perl-curry-2.000001-r0.apk | 2.6 KiB | 2024-01-15 21:00:53 |
restic.mk-0.4.0-r0.apk | 2.7 KiB | 2023-05-13 08:44:42 |
libvisio2svg-dev-0.5.5-r3.apk | 2.7 KiB | 2023-04-29 21:47:16 |
cri-o-zsh-completion-1.29.1-r2.apk | 2.7 KiB | 2024-04-07 00:46:18 |
vcsh-bash-completion-2.0.5-r0.apk | 2.7 KiB | 2023-06-08 21:49:05 |
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk | 2.7 KiB | 2020-12-08 21:10:45 |
xcur2png-doc-0.7.1-r0.apk | 2.7 KiB | 2024-04-23 08:03:30 |
colormake-doc-0.9.20170221-r0.apk | 2.7 KiB | 2017-09-06 12:04:44 |
vcsh-zsh-completion-2.0.5-r0.apk | 2.7 KiB | 2023-06-08 21:49:05 |
f_scripts-f_networks-0.5-r2.apk | 2.7 KiB | 2023-09-11 20:17:47 |
f_scripts-f_files-0.5-r2.apk | 2.7 KiB | 2023-09-11 20:17:47 |
snowflake-doc-2.9.2-r1.apk | 2.7 KiB | 2024-04-07 00:50:49 |
remake-dev-1.5-r1.apk | 2.7 KiB | 2022-11-02 08:49:05 |
wl-clipboard-x11-doc-5-r3.apk | 2.7 KiB | 2022-11-02 08:49:38 |
rankwidth-dev-0.9-r3.apk | 2.7 KiB | 2023-08-01 12:38:05 |
tootik-openrc-0.10.4-r0.apk | 2.7 KiB | 2024-05-01 07:39:02 |
perl-xml-libxml-sax-chunkparser-0.00008-r0.apk | 2.7 KiB | 2024-01-03 18:24:45 |
nb-zsh-completion-7.12.1-r0.apk | 2.7 KiB | 2024-02-23 23:36:13 |
libabigail-bash-completion-2.3-r0.apk | 2.7 KiB | 2023-05-04 07:46:59 |
perl-promise-es6-io-async-0.28-r0.apk | 2.7 KiB | 2024-01-19 00:19:06 |
perl-cache-lru-0.04-r0.apk | 2.7 KiB | 2024-01-15 21:00:53 |
rke-doc-1.4.3-r7.apk | 2.7 KiB | 2024-04-07 00:50:36 |
prosody-mod-register_redirect-0.11_hg20201208-r0.apk | 2.7 KiB | 2020-12-08 21:10:45 |
perl-cairo-gobject-doc-1.005-r3.apk | 2.7 KiB | 2023-07-04 00:01:45 |
rkdeveloptool-doc-1.1.0-r0.apk | 2.7 KiB | 2022-02-03 02:10:05 |
avdl-doc-0.4.3-r1.apk | 2.7 KiB | 2022-08-20 13:32:46 |
perl-net-curl-promiser-ioasync-0.20-r0.apk | 2.7 KiB | 2024-02-05 16:12:54 |
lynis-bash-completion-3.1.1-r0.apk | 2.7 KiB | 2024-03-18 01:01:31 |
pokoy-doc-0.2.5-r0.apk | 2.7 KiB | 2023-05-22 21:26:25 |
py3-pytaglib-pyc-1.5.0-r3.apk | 2.7 KiB | 2024-04-15 21:52:00 |
py3-luhn-0.2.0-r8.apk | 2.7 KiB | 2024-04-15 21:51:54 |
usbmuxd-doc-1.1.1-r6.apk | 2.7 KiB | 2024-02-05 19:32:07 |
isomd5sum-doc-1.2.3-r2.apk | 2.7 KiB | 2022-11-27 16:49:36 |
nb-bash-completion-7.12.1-r0.apk | 2.7 KiB | 2024-02-23 23:36:13 |
pipectl-doc-0.4.1-r1.apk | 2.7 KiB | 2023-02-01 19:42:42 |
libctl-doc-4.5.1-r1.apk | 2.8 KiB | 2023-05-15 20:51:50 |
geonames-dev-0.3.1-r1.apk | 2.8 KiB | 2024-04-17 17:02:42 |
pastel-bash-completion-0.9.0-r2.apk | 2.8 KiB | 2023-07-01 05:25:16 |
ansiweather-doc-1.19.0-r1.apk | 2.8 KiB | 2023-07-29 20:02:41 |
rankwidth-doc-0.9-r3.apk | 2.8 KiB | 2023-08-01 12:38:05 |
py-spy-zsh-completion-0.3.14-r3.apk | 2.8 KiB | 2023-07-01 05:25:16 |
perl-linux-pid-doc-0.04-r12.apk | 2.8 KiB | 2023-07-04 00:01:46 |
laze-zsh-completion-0.1.21-r0.apk | 2.8 KiB | 2024-02-13 22:23:03 |
perl-template-plugin-csv-doc-0.04-r3.apk | 2.8 KiB | 2023-07-04 00:01:46 |
faultstat-doc-0.01.11-r0.apk | 2.8 KiB | 2024-01-13 12:40:33 |
prosody-mod-server_status-0.11_hg20201208-r0.apk | 2.8 KiB | 2020-12-08 21:10:45 |
satellite-doc-1.0.0-r20.apk | 2.8 KiB | 2024-04-07 00:50:39 |
llmnrd-doc-0.7-r1.apk | 2.8 KiB | 2022-11-02 08:47:59 |
hwatch-doc-0.3.11-r0.apk | 2.8 KiB | 2024-03-18 01:01:31 |
apmpkg-doc-1.5.1-r3.apk | 2.8 KiB | 2023-07-01 05:24:44 |
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk | 2.8 KiB | 2023-07-04 00:01:46 |
ocp-index-vim-1.3.6-r0.apk | 2.8 KiB | 2024-03-24 02:12:56 |
mkg3a-doc-0.5.0-r1.apk | 2.8 KiB | 2022-11-02 08:48:37 |
bchunk-doc-1.2.2-r2.apk | 2.8 KiB | 2022-11-02 08:46:34 |
perl-xml-libxml-sax-chunkparser-doc-0.00008-r0.apk | 2.8 KiB | 2024-01-03 18:24:45 |
py3-compdb-doc-0.2.0-r7.apk | 2.8 KiB | 2024-04-15 21:51:30 |
sedutil-doc-1.15.1-r1.apk | 2.8 KiB | 2022-11-02 08:49:09 |
py3-patatt-doc-0.6.3-r1.apk | 2.8 KiB | 2024-04-15 21:51:59 |
sympow-doc-2.023.6-r1.apk | 2.8 KiB | 2023-08-01 12:38:07 |
perl-perlio-locale-doc-0.10-r11.apk | 2.8 KiB | 2023-07-04 00:01:46 |
snore-doc-0.3.1-r0.apk | 2.8 KiB | 2023-11-14 19:46:42 |
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk | 2.8 KiB | 2020-12-08 21:10:45 |
opendht-doc-3.1.7-r2.apk | 2.8 KiB | 2024-04-15 21:51:23 |
vkbasalt-doc-0.3.2.10-r0.apk | 2.8 KiB | 2024-01-18 23:40:30 |
py3-cchardet-pyc-2.1.7-r4.apk | 2.8 KiB | 2024-04-15 21:51:30 |
perl-http-thin-0.006-r0.apk | 2.8 KiB | 2024-01-13 11:52:05 |
py3-log-symbols-pyc-0.0.14-r4.apk | 2.8 KiB | 2024-04-15 21:51:54 |
prosody-mod-host_guard-0.11_hg20201208-r0.apk | 2.8 KiB | 2020-12-08 21:10:45 |
datamash-bash-completion-1.8-r1.apk | 2.8 KiB | 2024-04-17 17:04:30 |
csmith-doc-2.3.0-r1.apk | 2.8 KiB | 2022-11-02 08:46:52 |
snapper-bash-completion-0.10.7-r1.apk | 2.8 KiB | 2024-04-22 18:59:12 |
xcape-doc-1.2-r0.apk | 2.8 KiB | 2021-11-29 21:04:22 |
flameshot-fish-completion-12.1.0-r3.apk | 2.8 KiB | 2023-10-08 10:48:28 |
perl-net-curl-promiser-mojo-0.20-r0.apk | 2.9 KiB | 2024-02-05 16:12:54 |
kbs2-bash-completion-0.7.2-r3.apk | 2.9 KiB | 2023-07-29 20:02:48 |
memdump-doc-1.01-r1.apk | 2.9 KiB | 2022-11-02 08:48:33 |
cocogitto-bash-completion-6.1.0-r0.apk | 2.9 KiB | 2024-03-15 12:15:31 |
cocogitto-zsh-completion-6.1.0-r0.apk | 2.9 KiB | 2024-03-15 12:15:31 |
lotide-openrc-0.15.0-r0.apk | 2.9 KiB | 2024-03-29 01:23:23 |
tty-clock-doc-2.3_git20240104-r0.apk | 2.9 KiB | 2024-01-19 03:13:30 |
usbguard-zsh-completion-1.1.2-r8.apk | 2.9 KiB | 2024-01-03 19:29:59 |
perl-json-maybeutf8-2.000-r0.apk | 2.9 KiB | 2024-01-15 21:00:53 |
py3-bottle-redis-pyc-0.2.3-r6.apk | 2.9 KiB | 2024-04-15 21:51:29 |
py3-bottle-websocket-pyc-0.2.9-r8.apk | 2.9 KiB | 2024-04-15 21:51:29 |
py3-python-jose-doc-3.3.0-r2.apk | 2.9 KiB | 2024-04-15 13:09:35 |
harminv-dev-1.4.2-r1.apk | 2.9 KiB | 2023-10-08 17:25:58 |
dwl-doc-0.5-r1.apk | 2.9 KiB | 2024-03-14 08:36:00 |
trippy-bash-completion-0.10.0-r0.apk | 2.9 KiB | 2024-04-05 22:46:55 |
wpaperd-doc-0.3.0-r2.apk | 2.9 KiB | 2023-07-01 05:25:30 |
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk | 2.9 KiB | 2024-04-15 21:52:03 |
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk | 2.9 KiB | 2020-12-08 21:10:45 |
ptylie-doc-0.2-r1.apk | 2.9 KiB | 2022-11-02 08:48:58 |
today-6.1.4-r0.apk | 2.9 KiB | 2023-12-18 14:10:13 |
volatility3-doc-2.5.2-r1.apk | 2.9 KiB | 2024-04-15 21:52:08 |
lsd-fish-completion-1.1.1-r0.apk | 2.9 KiB | 2024-03-25 23:03:45 |
caffeine-ng-doc-4.2.0-r1.apk | 2.9 KiB | 2024-04-16 01:33:13 |
perl-plack-middleware-reverseproxy-0.16-r2.apk | 2.9 KiB | 2023-07-04 00:01:46 |
pamtester-doc-0.1.2-r3.apk | 2.9 KiB | 2022-10-14 16:16:44 |
perl-math-libm-doc-1.00-r13.apk | 2.9 KiB | 2023-07-04 00:01:46 |
jalv-doc-1.6.8-r1.apk | 2.9 KiB | 2023-07-29 20:02:48 |
timeshift-doc-24.01.1-r0.apk | 2.9 KiB | 2024-03-09 17:02:29 |
py3-flask-gzip-0.2-r8.apk | 2.9 KiB | 2024-04-15 21:51:35 |
libdng-dev-0.1.1-r0.apk | 2.9 KiB | 2024-01-21 22:37:11 |
perl-uri-redis-0.02-r0.apk | 2.9 KiB | 2024-01-15 21:00:53 |
perl-string-camelcase-0.04-r2.apk | 2.9 KiB | 2023-07-04 00:01:46 |
fcitx5-lua-dev-5.0.13-r0.apk | 2.9 KiB | 2024-05-10 03:39:43 |
today-doc-6.1.4-r0.apk | 2.9 KiB | 2023-12-18 14:10:13 |
perl-digest-crc-doc-0.24-r0.apk | 2.9 KiB | 2024-01-15 21:00:53 |
py3-flask-headers-1.0-r9.apk | 2.9 KiB | 2024-04-15 21:51:35 |
py3-bottle-request-0.2.0-r9.apk | 3.0 KiB | 2024-04-15 21:51:29 |
perl-datetime-format-atom-1.6.0-r0.apk | 3.0 KiB | 2024-01-22 11:52:04 |
perl-flowd-doc-0.9.1-r9.apk | 3.0 KiB | 2023-07-04 00:01:46 |
perl-cache-lru-doc-0.04-r0.apk | 3.0 KiB | 2024-01-15 21:00:53 |
persistent-cache-cpp-doc-1.0.7-r1.apk | 3.0 KiB | 2024-04-22 18:59:10 |
kbs2-fish-completion-0.7.2-r3.apk | 3.0 KiB | 2023-07-29 20:02:48 |
lxappearance-dev-0.6.3-r3.apk | 3.0 KiB | 2023-05-29 03:20:28 |
pastel-fish-completion-0.9.0-r2.apk | 3.0 KiB | 2023-07-01 05:25:16 |
nitrocli-bash-completion-0.4.1-r3.apk | 3.0 KiB | 2023-05-24 07:48:19 |
p910nd-doc-0.97-r2.apk | 3.0 KiB | 2022-06-04 12:38:57 |
tmpmail-doc-1.2.3-r2.apk | 3.0 KiB | 2023-11-01 10:13:18 |
hatop-doc-0.8.2-r0.apk | 3.0 KiB | 2022-08-01 17:46:28 |
py3-allfiles-pyc-1.0-r8.apk | 3.0 KiB | 2024-04-15 21:51:25 |
perl-variable-disposition-0.005-r0.apk | 3.0 KiB | 2024-01-15 21:00:53 |
py3-utc-0.0.3-r8.apk | 3.0 KiB | 2024-04-15 21:52:05 |
py3-hurry.filesize-pyc-0.9-r7.apk | 3.0 KiB | 2024-04-15 21:51:35 |
fatrace-doc-0.17.0-r0.apk | 3.0 KiB | 2022-11-14 21:14:32 |
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk | 3.0 KiB | 2023-08-22 10:23:18 |
initify-0_git20171210-r1.apk | 3.0 KiB | 2022-11-02 08:47:24 |
openocd-riscv-udev-rules-0_git20230104-r1.apk | 3.0 KiB | 2023-05-04 07:47:18 |
prjtrellis-db-0_git20230929-r0.apk | 3.0 KiB | 2024-01-12 02:24:42 |
py3-bottle-redis-0.2.3-r6.apk | 3.0 KiB | 2024-04-15 21:51:29 |
openocd-esp32-doc-0_git20230921-r4.apk | 3.0 KiB | 2023-12-05 20:06:26 |
toml2json-doc-1.3.1-r0.apk | 3.0 KiB | 2023-08-05 10:41:12 |
sqlar-doc-0_git20180107-r1.apk | 3.0 KiB | 2022-11-02 08:49:16 |
py3-flask-components-pyc-0.1.1-r9.apk | 3.0 KiB | 2024-04-15 21:51:35 |
perl-devel-leak-doc-0.03-r12.apk | 3.0 KiB | 2023-07-04 00:01:46 |
hub-fish-completion-2.14.2-r22.apk | 3.1 KiB | 2024-04-07 00:48:04 |
nuzzle-doc-1.5-r0.apk | 3.1 KiB | 2023-12-08 13:14:48 |
openocd-git-doc-0_git20240113-r0.apk | 3.1 KiB | 2024-01-17 08:39:43 |
openocd-riscv-doc-0_git20230104-r1.apk | 3.1 KiB | 2023-05-04 07:47:18 |
pick-doc-4.0.0-r0.apk | 3.1 KiB | 2023-05-18 09:59:12 |
fastd-doc-22-r3.apk | 3.1 KiB | 2023-10-22 04:58:39 |
py3-log-symbols-0.0.14-r4.apk | 3.1 KiB | 2024-04-15 21:51:54 |
perl-protocol-redis-faster-doc-0.003-r0.apk | 3.1 KiB | 2024-01-12 13:03:55 |
py3-anyascii-pyc-0.3.2-r1.apk | 3.1 KiB | 2024-04-15 21:51:26 |
compiz-utils-0.9.14.2-r4.apk | 3.1 KiB | 2024-04-22 18:58:08 |
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk | 3.1 KiB | 2024-04-15 21:52:03 |
py3-flake8-copyright-pyc-0.2.4-r3.apk | 3.1 KiB | 2024-04-15 21:51:33 |
perl-plack-middleware-expires-doc-0.06-r3.apk | 3.1 KiB | 2023-07-04 00:01:46 |
libopensmtpd-dev-0.7-r0.apk | 3.1 KiB | 2022-02-19 01:19:53 |
click-doc-0.5.2-r1.apk | 3.1 KiB | 2024-04-15 21:50:55 |
rezolus-doc-2.11.1-r3.apk | 3.1 KiB | 2023-05-24 07:48:24 |
luksmeta-dev-9-r0.apk | 3.1 KiB | 2022-06-17 11:58:24 |
noice-doc-0.8-r1.apk | 3.1 KiB | 2022-11-02 08:48:39 |
cocogitto-fish-completion-6.1.0-r0.apk | 3.1 KiB | 2024-03-15 12:15:31 |
zita-resampler-dev-1.10.1-r0.apk | 3.1 KiB | 2023-03-18 16:59:09 |
openocd-git-udev-rules-0_git20240113-r0.apk | 3.1 KiB | 2024-01-17 08:39:43 |
httpie-oauth-1.0.2-r9.apk | 3.1 KiB | 2024-04-15 21:51:02 |
openocd-esp32-udev-rules-0_git20230921-r4.apk | 3.1 KiB | 2023-12-05 20:06:26 |
prosody-mod-bookmarks-0.11_hg20201208-r0.apk | 3.1 KiB | 2020-12-08 21:10:45 |
lomiri-url-dispatcher-dev-0.1.3-r1.apk | 3.1 KiB | 2024-04-15 21:51:19 |
pam_mount-dev-2.20-r0.apk | 3.1 KiB | 2023-12-23 02:34:43 |
perl-ppi-xs-doc-0.910-r0.apk | 3.1 KiB | 2024-02-24 12:50:42 |
perl-string-crc32-doc-2.100-r3.apk | 3.1 KiB | 2023-07-04 00:01:46 |
perl-scalar-readonly-doc-0.03-r0.apk | 3.1 KiB | 2024-03-09 06:50:30 |
octoprint-creality2xfix-pyc-0.0.4-r1.apk | 3.1 KiB | 2024-04-16 00:40:05 |
laze-doc-0.1.21-r0.apk | 3.1 KiB | 2024-02-13 22:23:03 |
beard-0.4-r0.apk | 3.1 KiB | 2022-08-28 17:05:21 |
rio-terminfo-0.0.36-r0.apk | 3.1 KiB | 2024-03-15 12:04:57 |
perl-tickit-widget-choice-doc-0.07-r0.apk | 3.1 KiB | 2024-01-14 12:55:06 |
perl-net-mqtt-simple-ssl-1.29-r0.apk | 3.1 KiB | 2024-04-16 17:38:49 |
perl-test-checkdeps-doc-0.010-r0.apk | 3.2 KiB | 2024-01-03 18:24:45 |
wl-clipboard-x11-5-r3.apk | 3.2 KiB | 2022-11-02 08:49:38 |
openocd-esp32-dev-0_git20230921-r4.apk | 3.2 KiB | 2023-12-05 20:06:26 |
perl-curry-doc-2.000001-r0.apk | 3.2 KiB | 2024-01-15 21:00:53 |
libideviceactivation-dev-1.1.1-r4.apk | 3.2 KiB | 2023-05-15 02:29:48 |
perl-protocol-redis-faster-0.003-r0.apk | 3.2 KiB | 2024-01-12 13:03:55 |
nmail-doc-4.54-r0.apk | 3.2 KiB | 2024-03-24 16:11:09 |
py3-ovos-ocp-m3u-plugin-pyc-0.0.2_alpha1-r1.apk | 3.2 KiB | 2024-04-15 21:51:59 |
perl-ref-util-xs-doc-0.117-r7.apk | 3.2 KiB | 2023-07-04 00:01:46 |
ampy-doc-1.1.0-r5.apk | 3.2 KiB | 2024-04-13 17:05:19 |
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk | 3.2 KiB | 2024-01-19 00:19:05 |
openocd-git-dev-0_git20240113-r0.apk | 3.2 KiB | 2024-01-17 08:39:43 |
hyprland-doc-0.39.1-r0.apk | 3.2 KiB | 2024-04-23 08:03:24 |
py3-flask-json-schema-pyc-0.0.5-r4.apk | 3.2 KiB | 2024-04-15 21:51:35 |
uclient-dev-20210514-r0.apk | 3.2 KiB | 2022-06-09 01:01:00 |
perl-net-address-ip-local-0.1.2-r0.apk | 3.2 KiB | 2024-01-15 21:00:53 |
perl-http-thin-doc-0.006-r0.apk | 3.2 KiB | 2024-01-13 11:52:05 |
lsd-zsh-completion-1.1.1-r0.apk | 3.2 KiB | 2024-03-25 23:03:45 |
stgit-vim-1.3-r5.apk | 3.2 KiB | 2024-04-15 21:52:07 |
py3-infinity-1.5-r5.apk | 3.2 KiB | 2024-04-15 21:51:36 |
witchery-0.0.3-r2.apk | 3.2 KiB | 2022-07-26 07:52:28 |
libjodycode-doc-3.1-r1.apk | 3.2 KiB | 2023-07-29 20:02:51 |
dfl-login1-dev-0.2.0-r0.apk | 3.2 KiB | 2023-12-30 13:27:24 |
perl-string-camelcase-doc-0.04-r2.apk | 3.2 KiB | 2023-07-04 00:01:46 |
libuninameslist-dev-20230916-r0.apk | 3.2 KiB | 2023-09-18 04:50:58 |
xload-doc-1.1.4-r0.apk | 3.2 KiB | 2022-05-12 08:40:53 |
shadowsocks-libev-dev-3.3.5-r4.apk | 3.2 KiB | 2024-04-13 17:05:21 |
libbloom-dev-2.0-r0.apk | 3.2 KiB | 2023-06-05 21:59:19 |
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk | 3.2 KiB | 2024-04-15 21:52:03 |
copyq-doc-8.0.0-r0.apk | 3.2 KiB | 2024-03-22 07:47:06 |
tldr-python-client-doc-3.2.0-r1.apk | 3.2 KiB | 2024-04-15 21:52:07 |
perl-net-address-ip-local-doc-0.1.2-r0.apk | 3.2 KiB | 2024-01-15 21:00:53 |
perl-test-checkdeps-0.010-r0.apk | 3.2 KiB | 2024-01-03 18:24:45 |
enlighten-doc-0.9.2-r1.apk | 3.2 KiB | 2022-11-02 08:47:04 |
ijq-doc-1.1.0-r0.apk | 3.3 KiB | 2024-04-15 11:46:32 |
aptdec-dev-1.8.0-r0.apk | 3.3 KiB | 2023-02-22 11:32:57 |
extrace-doc-0.9-r0.apk | 3.3 KiB | 2024-04-18 19:40:46 |
shellinabox-openrc-2.21-r3.apk | 3.3 KiB | 2023-05-15 20:51:56 |
perl-test-randomresult-0.001-r0.apk | 3.3 KiB | 2024-04-16 11:29:09 |
rgxg-dev-0.1.2-r2.apk | 3.3 KiB | 2023-05-15 20:51:56 |
prosody-mod-conversejs-0.11_hg20201208-r0.apk | 3.3 KiB | 2020-12-08 21:10:45 |
nvtop-doc-3.1.0-r0.apk | 3.3 KiB | 2024-02-24 12:42:58 |
otpclient-doc-3.2.1-r1.apk | 3.3 KiB | 2023-11-15 14:38:33 |
kabmat-doc-2.7.0-r0.apk | 3.3 KiB | 2023-05-07 06:40:57 |
nvim-cmp-lsp-0.0.0_git20220516-r0.apk | 3.3 KiB | 2022-06-15 21:16:37 |
perl-proc-guard-doc-0.07-r4.apk | 3.3 KiB | 2024-01-04 01:13:43 |
wlclock-doc-1.0.1-r0.apk | 3.3 KiB | 2021-12-31 00:27:21 |
snapper-zsh-completion-0.10.7-r1.apk | 3.3 KiB | 2024-04-22 18:59:12 |
twinkle-doc-1.10.3-r2.apk | 3.3 KiB | 2023-04-29 21:47:36 |
perl-json-maybeutf8-doc-2.000-r0.apk | 3.3 KiB | 2024-01-15 21:00:53 |
xiccd-doc-0.3.0_git20211219-r1.apk | 3.3 KiB | 2022-06-16 15:51:44 |
cutechess-doc-1.3.1-r0.apk | 3.3 KiB | 2023-09-24 18:37:36 |
py3-allfiles-1.0-r8.apk | 3.3 KiB | 2024-04-15 21:51:25 |
ffsend-fish-completion-0.2.76-r4.apk | 3.3 KiB | 2023-07-01 05:24:56 |
perl-check-unitcheck-doc-0.13-r0.apk | 3.3 KiB | 2024-01-17 12:12:35 |
mpvpaper-doc-1.5-r0.apk | 3.3 KiB | 2024-05-04 11:21:58 |
doasedit-1.0.7-r0.apk | 3.3 KiB | 2024-02-13 17:44:11 |
ccze-dev-0.2.1-r1.apk | 3.3 KiB | 2022-09-07 21:01:26 |
perl-test-describeme-0.004-r0.apk | 3.3 KiB | 2024-04-16 17:38:49 |
py3-flake8-todo-0.7-r7.apk | 3.3 KiB | 2024-04-15 21:51:33 |
ssss-doc-0.5.7-r0.apk | 3.3 KiB | 2021-10-29 07:28:14 |
gsettings-qt-dev-0.2_git20220807-r0.apk | 3.3 KiB | 2023-11-04 16:55:09 |
wireguard-go-doc-0.0.20230223-r4.apk | 3.3 KiB | 2024-04-07 00:52:08 |
ffsend-bash-completion-0.2.76-r4.apk | 3.4 KiB | 2023-07-01 05:24:56 |
dfl-ipc-dev-0.2.0-r0.apk | 3.4 KiB | 2023-12-30 13:27:24 |
lua-resty-upload-0.11-r0.apk | 3.4 KiB | 2023-03-16 19:39:56 |
rattler-build-bash-completion-0.16.0-r0.apk | 3.4 KiB | 2024-05-06 21:28:36 |
libqb-tools-2.0.8-r0.apk | 3.4 KiB | 2023-08-03 20:00:15 |
perl-bind-config-parser-doc-0.01-r5.apk | 3.4 KiB | 2023-07-04 00:01:45 |
pacparser-dev-1.4.3-r1.apk | 3.4 KiB | 2024-04-15 21:51:24 |
nvim-cmp-cmdline-0.0.0_git20220902-r0.apk | 3.4 KiB | 2022-10-13 20:59:39 |
swappy-lang-1.5.1-r0.apk | 3.4 KiB | 2022-11-21 22:23:45 |
lua-fn-0.1.0-r0.apk | 3.4 KiB | 2022-08-15 15:45:13 |
ocaml-qtest-dev-2.11.2-r3.apk | 3.4 KiB | 2024-03-24 02:12:36 |
pixiewps-doc-1.4.2-r1.apk | 3.4 KiB | 2022-07-26 07:52:26 |
py3-ecos-pyc-2.0.11-r3.apk | 3.4 KiB | 2024-04-15 21:51:33 |
ircd-hybrid-doc-8.2.43-r0.apk | 3.4 KiB | 2023-06-08 20:45:20 |
swig3-doc-3.0.12-r3.apk | 3.4 KiB | 2024-04-22 18:59:12 |
release-plz-fish-completion-0.3.65-r0.apk | 3.4 KiB | 2024-05-06 14:08:41 |
pulseview-doc-0.4.2-r8.apk | 3.4 KiB | 2024-04-22 18:59:11 |
ouch-doc-0.5.1-r0.apk | 3.4 KiB | 2023-12-24 18:42:43 |
maddy-vim-0.7.1-r2.apk | 3.4 KiB | 2024-04-07 00:49:27 |
py3-pydes-doc-2.0.1-r4.apk | 3.4 KiB | 2024-04-14 20:33:58 |
wok-doc-3.0.0-r6.apk | 3.4 KiB | 2024-04-15 21:52:08 |
perl-role-eventemitter-0.003-r0.apk | 3.4 KiB | 2024-01-13 11:52:05 |
py3-flake8-snippets-pyc-0.2-r8.apk | 3.4 KiB | 2024-04-15 21:51:33 |
perl-test-randomresult-doc-0.001-r0.apk | 3.4 KiB | 2024-04-16 11:29:09 |
lzfse-dev-1.0-r0.apk | 3.4 KiB | 2022-09-17 09:57:42 |
perl-proc-guard-0.07-r4.apk | 3.4 KiB | 2024-01-04 01:13:43 |
logc-libevent-0.1.0-r0.apk | 3.4 KiB | 2023-11-18 17:33:12 |
swappy-doc-1.5.1-r0.apk | 3.4 KiB | 2022-11-21 22:23:45 |
rdrview-doc-0.1.1-r0.apk | 3.4 KiB | 2024-03-05 00:39:42 |
wsmancli-doc-2.6.2-r0.apk | 3.4 KiB | 2023-01-12 15:57:57 |
py3-flask-accept-pyc-0.0.6-r1.apk | 3.4 KiB | 2024-04-15 21:51:33 |
mdp-doc-1.0.15-r1.apk | 3.4 KiB | 2022-11-02 08:48:33 |
perl-sys-syscall-doc-0.25-r9.apk | 3.4 KiB | 2024-01-04 01:13:43 |
warpinator-nemo-1.8.3-r0.apk | 3.4 KiB | 2024-02-10 08:41:14 |
dropwatch-doc-1.5.4-r4.apk | 3.5 KiB | 2024-03-01 15:19:11 |
libdatrie-doc-0.2.13-r0.apk | 3.5 KiB | 2022-01-27 00:31:20 |
kind-zsh-completion-0.22.0-r2.apk | 3.5 KiB | 2024-04-07 00:48:47 |
hub-zsh-completion-2.14.2-r22.apk | 3.5 KiB | 2024-04-07 00:48:04 |
bcg729-dev-1.1.1-r0.apk | 3.5 KiB | 2020-12-02 08:33:12 |
py3-cookiecutter-doc-2.6.0-r1.apk | 3.5 KiB | 2024-04-15 21:51:30 |
ocp-indent-emacs-1.8.2-r2.apk | 3.5 KiB | 2024-03-24 02:12:55 |
hexdiff-doc-0.0.53-r2.apk | 3.5 KiB | 2022-11-02 08:47:22 |
pebble-le-doc-0.3.0-r1.apk | 3.5 KiB | 2024-04-22 18:59:10 |
authenticator-rs-lang-0.7.5-r0.apk | 3.5 KiB | 2023-05-29 21:10:53 |
ticker-zsh-completion-4.5.14-r5.apk | 3.5 KiB | 2024-04-07 00:51:20 |
py3-toposort-pyc-1.10-r3.apk | 3.5 KiB | 2024-04-15 21:52:04 |
py3-bottle-renderer-pyc-0.1.1-r9.apk | 3.5 KiB | 2024-04-15 21:51:29 |
rauc-service-1.10.1-r0.apk | 3.5 KiB | 2023-08-08 17:42:16 |
perl-sort-versions-1.62-r0.apk | 3.5 KiB | 2024-02-10 01:02:23 |
perl-feed-find-doc-0.13-r0.apk | 3.5 KiB | 2024-01-14 15:56:32 |
py3-ovos-ocp-rss-plugin-pyc-0.0.2-r1.apk | 3.5 KiB | 2024-04-15 21:51:59 |
openocd-riscv-dev-0_git20230104-r1.apk | 3.5 KiB | 2023-05-04 07:47:18 |
py3-infinity-pyc-1.5-r5.apk | 3.5 KiB | 2024-04-15 21:51:36 |
volumeicon-lang-0.5.1-r1.apk | 3.5 KiB | 2022-11-02 08:49:36 |
perl-term-size-doc-0.211-r3.apk | 3.5 KiB | 2023-07-04 00:01:46 |
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk | 3.5 KiB | 2024-01-19 00:19:05 |
fcitx5-lua-lang-5.0.13-r0.apk | 3.5 KiB | 2024-05-10 03:39:43 |
autorandr-doc-1.15-r0.apk | 3.5 KiB | 2024-03-16 12:36:56 |
tuptime-doc-5.2.2-r3.apk | 3.5 KiB | 2023-06-25 11:02:59 |
finger-doc-0.5-r0.apk | 3.5 KiB | 2024-03-09 09:11:58 |
perl-math-random-isaac-xs-doc-1.004-r7.apk | 3.5 KiB | 2023-07-04 00:01:46 |
perl-datetime-format-atom-doc-1.6.0-r0.apk | 3.6 KiB | 2024-01-22 11:52:04 |
dumb_runtime_dir-1.0.4-r2.apk | 3.6 KiB | 2023-10-05 08:30:13 |
perl-test2-tools-explain-0.02-r0.apk | 3.6 KiB | 2024-03-09 06:50:30 |
perl-email-mime-attachment-stripper-doc-1.317-r5.apk | 3.6 KiB | 2023-07-04 00:01:46 |
perl-test-useallmodules-0.17-r1.apk | 3.6 KiB | 2023-07-04 00:01:46 |
innernet-bash-completion-1.6.1-r0.apk | 3.6 KiB | 2024-02-23 19:45:55 |
opensmtpd-filter-dkimsign-doc-0.6-r1.apk | 3.6 KiB | 2022-08-04 12:09:32 |
rage-doc-0.9.2-r1.apk | 3.6 KiB | 2023-07-01 05:25:16 |
perl-time-timegm-doc-0.01-r8.apk | 3.6 KiB | 2023-07-04 00:01:46 |
nvim-cmp-luasnip-0.0.0_git20220501-r0.apk | 3.6 KiB | 2022-08-13 14:26:08 |
csol-doc-1.6.0-r0.apk | 3.6 KiB | 2023-03-13 09:10:56 |
primecount-doc-7.13-r0.apk | 3.6 KiB | 2024-05-01 17:13:11 |
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk | 3.6 KiB | 2024-01-14 12:55:06 |
perl-feed-find-0.13-r0.apk | 3.6 KiB | 2024-01-14 15:56:32 |
py3-flask-markdown-pyc-0.3-r8.apk | 3.6 KiB | 2024-04-15 21:51:35 |
perl-test-useallmodules-doc-0.17-r1.apk | 3.6 KiB | 2023-07-04 00:01:46 |
emacs-avy-embark-collect-1.0_git20240327-r0.apk | 3.6 KiB | 2024-04-09 14:46:21 |
perl-context-preserve-0.03-r4.apk | 3.6 KiB | 2023-07-04 00:01:45 |
perl-plack-middleware-expires-0.06-r3.apk | 3.6 KiB | 2023-07-04 00:01:46 |
perl-bind-config-parser-0.01-r5.apk | 3.6 KiB | 2023-07-04 00:01:45 |
kind-fish-completion-0.22.0-r2.apk | 3.6 KiB | 2024-04-07 00:48:47 |
py3-nanoid-pyc-2.0.0-r2.apk | 3.6 KiB | 2024-04-15 21:51:55 |
netsurf-framebuffer-doc-3.11-r0.apk | 3.6 KiB | 2024-02-03 13:34:23 |
ticker-fish-completion-4.5.14-r5.apk | 3.6 KiB | 2024-04-07 00:51:20 |
py3-stringcase-pyc-1.2.0-r8.apk | 3.6 KiB | 2024-04-13 17:05:21 |
perl-uri-nested-doc-0.10-r0.apk | 3.6 KiB | 2024-01-12 13:03:55 |
virtctl-fish-completion-1.2.0-r0.apk | 3.6 KiB | 2024-05-04 11:32:19 |
tncattach-doc-0.1.9-r1.apk | 3.6 KiB | 2022-11-02 08:49:24 |
z-doc-1.12-r0.apk | 3.7 KiB | 2023-12-10 22:36:11 |
py3-sphinxcontrib-gist-0.1.0-r9.apk | 3.7 KiB | 2024-04-15 21:52:03 |
mml-doc-1.0.0-r0.apk | 3.7 KiB | 2023-11-10 23:48:18 |
glow-fish-completion-1.5.1-r6.apk | 3.7 KiB | 2024-04-07 00:47:36 |
perl-tickit-widget-choice-0.07-r0.apk | 3.7 KiB | 2024-01-14 12:55:06 |
py3-flask-components-0.1.1-r9.apk | 3.7 KiB | 2024-04-15 21:51:35 |
mangal-fish-completion-4.0.6-r10.apk | 3.7 KiB | 2024-04-07 00:49:30 |
lite-xl-doc-2.1.1-r1.apk | 3.7 KiB | 2024-01-22 02:30:29 |
qt-wayland-shell-helpers-dev-0.1.1-r3.apk | 3.7 KiB | 2023-04-26 15:56:13 |
git-bug-fish-completion-0.8.0-r11.apk | 3.7 KiB | 2024-04-07 00:47:35 |
libiml-dev-1.0.5-r3.apk | 3.7 KiB | 2023-08-01 12:38:02 |
ocaml-menhir-doc-20220210-r2.apk | 3.7 KiB | 2024-03-24 02:12:19 |
perl-types-path-tiny-0.006-r0.apk | 3.7 KiB | 2024-01-13 11:52:05 |
perl-log-message-simple-doc-0.10-r3.apk | 3.7 KiB | 2023-07-04 00:01:46 |
perl-class-inner-0.200001-r5.apk | 3.7 KiB | 2023-12-17 22:52:19 |
perl-role-eventemitter-doc-0.003-r0.apk | 3.7 KiB | 2024-01-13 11:52:05 |
cargo-machete-doc-0.6.2-r0.apk | 3.7 KiB | 2024-03-24 16:10:55 |
pwauth-2.3.11-r2.apk | 3.7 KiB | 2022-11-02 08:49:03 |
perl-email-mime-attachment-stripper-1.317-r5.apk | 3.7 KiB | 2023-07-04 00:01:46 |
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk | 3.7 KiB | 2024-04-15 21:51:24 |
prosody-mod-pastebin-0.11_hg20201208-r0.apk | 3.7 KiB | 2020-12-08 21:10:45 |
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk | 3.7 KiB | 2023-11-02 11:29:08 |
py3-bottle-renderer-0.1.1-r9.apk | 3.7 KiB | 2024-04-15 21:51:29 |
py3-flask-cdn-1.5.3-r7.apk | 3.7 KiB | 2024-04-15 21:51:35 |
virtctl-zsh-completion-1.2.0-r0.apk | 3.7 KiB | 2024-05-04 11:32:19 |
kubeone-zsh-completion-1.7.4-r0.apk | 3.7 KiB | 2024-05-04 11:20:52 |
kbs2-zsh-completion-0.7.2-r3.apk | 3.7 KiB | 2023-07-29 20:02:48 |
cowsay-doc-3.04-r2.apk | 3.7 KiB | 2022-11-02 08:46:46 |
xfe-doc-1.46.1-r0.apk | 3.7 KiB | 2024-03-05 00:39:42 |
glow-zsh-completion-1.5.1-r6.apk | 3.7 KiB | 2024-04-07 00:47:36 |
perl-uri-nested-0.10-r0.apk | 3.7 KiB | 2024-01-12 13:03:55 |
mangal-zsh-completion-4.0.6-r10.apk | 3.8 KiB | 2024-04-07 00:49:30 |
git-bug-zsh-completion-0.8.0-r11.apk | 3.8 KiB | 2024-04-07 00:47:35 |
seed7-vim-05.20240322-r0.apk | 3.8 KiB | 2024-03-24 13:31:52 |
buf-zsh-completion-1.31.0-r0.apk | 3.8 KiB | 2024-05-04 11:02:44 |
py3-django-taggit-serializer-0.1.7-r8.apk | 3.8 KiB | 2024-04-15 21:51:31 |
topgit-bash-completion-0.19.13-r1.apk | 3.8 KiB | 2022-11-02 08:49:25 |
welle-io-doc-2.4-r5.apk | 3.8 KiB | 2024-04-24 20:50:28 |
atlas-zsh-completion-0.22.0-r0.apk | 3.8 KiB | 2024-05-04 11:20:48 |
zot-cli-zsh-completion-2.0.4-r1.apk | 3.8 KiB | 2024-05-09 22:17:33 |
knative-client-zsh-completion-1.14.0-r0.apk | 3.8 KiB | 2024-05-04 11:20:50 |
ko-zsh-completion-0.15.2-r1.apk | 3.8 KiB | 2024-04-07 00:48:57 |
wgcf-zsh-completion-2.2.22-r0.apk | 3.8 KiB | 2024-05-04 11:23:01 |
regal-zsh-completion-0.21.3-r0.apk | 3.8 KiB | 2024-05-04 11:20:53 |
cilium-cli-zsh-completion-0.16.6-r0.apk | 3.8 KiB | 2024-05-06 21:28:33 |
primesieve-doc-12.3-r0.apk | 3.8 KiB | 2024-05-01 17:13:11 |
libsds-dev-2.0.0-r1.apk | 3.8 KiB | 2020-12-15 14:21:53 |
upterm-zsh-completion-0.13.5-r0.apk | 3.8 KiB | 2024-05-06 21:28:37 |
py3-preggy-doc-1.4.4-r4.apk | 3.8 KiB | 2024-04-15 21:52:00 |
shfm-0.4.2-r1.apk | 3.8 KiB | 2022-11-02 08:49:09 |
stern-zsh-completion-1.29.0-r0.apk | 3.8 KiB | 2024-05-05 05:25:03 |
virter-zsh-completion-0.27.0-r0.apk | 3.8 KiB | 2024-05-03 22:48:54 |
admesh-dev-0.98.5-r0.apk | 3.8 KiB | 2022-12-05 10:14:27 |
hubble-cli-zsh-completion-0.13.3-r0.apk | 3.8 KiB | 2024-05-06 21:28:35 |
mcqd-dev-1.0.0-r1.apk | 3.8 KiB | 2023-08-01 12:38:04 |
k3sup-zsh-completion-0.13.5-r2.apk | 3.8 KiB | 2024-04-07 00:48:25 |
oras-cli-zsh-completion-1.1.0-r2.apk | 3.8 KiB | 2024-04-07 00:50:03 |
helmfile-zsh-completion-0.162.0-r2.apk | 3.8 KiB | 2024-04-07 00:48:01 |
zita-resampler-doc-1.10.1-r0.apk | 3.8 KiB | 2023-03-18 16:59:09 |
flux-zsh-completion-2.2.3-r2.apk | 3.8 KiB | 2024-04-07 00:47:23 |
libkkc-lang-0.3.5-r3.apk | 3.8 KiB | 2024-04-28 06:12:22 |
perl-lv-doc-0.006-r0.apk | 3.8 KiB | 2024-01-18 23:48:19 |
kubepug-zsh-completion-1.7.1-r2.apk | 3.8 KiB | 2024-04-07 00:49:11 |
py3-pacparser-pyc-1.4.3-r1.apk | 3.8 KiB | 2024-04-15 21:51:59 |
perl-html-selector-xpath-doc-0.28-r0.apk | 3.8 KiB | 2024-02-24 12:50:42 |
py3-flask-basicauth-pyc-0.2.0-r9.apk | 3.8 KiB | 2024-04-15 21:51:34 |
perl-lv-0.006-r0.apk | 3.8 KiB | 2024-01-18 23:48:19 |
perl-test-redisserver-doc-0.23-r0.apk | 3.8 KiB | 2024-01-17 12:17:26 |
perl-net-libresolv-doc-0.03-r0.apk | 3.8 KiB | 2023-12-30 11:58:52 |
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk | 3.8 KiB | 2024-04-15 21:52:03 |
perl-future-queue-0.52-r0.apk | 3.8 KiB | 2024-01-15 21:00:53 |
heh-doc-0.5.0-r0.apk | 3.8 KiB | 2024-04-10 23:39:33 |
perl-i18n-langinfo-wide-doc-9-r4.apk | 3.8 KiB | 2023-07-04 00:01:46 |
sing-box-zsh-completion-1.8.4-r3.apk | 3.8 KiB | 2024-04-07 00:50:46 |
perl-types-path-tiny-doc-0.006-r0.apk | 3.8 KiB | 2024-01-13 11:52:05 |
nvim-cmp-path-0.0.0_git20221002-r0.apk | 3.8 KiB | 2022-10-13 20:59:39 |
py3-bottle-werkzeug-0.1.1-r9.apk | 3.8 KiB | 2024-04-15 21:51:29 |
py3-flask-json-schema-0.0.5-r4.apk | 3.8 KiB | 2024-04-15 21:51:35 |
way-displays-doc-1.8.1-r2.apk | 3.8 KiB | 2023-09-11 09:14:45 |
py3-flask-cdn-pyc-1.5.3-r7.apk | 3.8 KiB | 2024-04-15 21:51:35 |
agrep-doc-0.8.0-r2.apk | 3.9 KiB | 2023-05-15 20:51:30 |
foolsm-doc-1.0.21-r0.apk | 3.9 KiB | 2022-05-21 12:41:34 |
cgo-doc-0.6.1-r1.apk | 3.9 KiB | 2022-11-02 08:46:41 |
perl-class-inner-doc-0.200001-r5.apk | 3.9 KiB | 2023-12-17 22:52:19 |
megatools-bash-completion-1.11.1.20230212-r1.apk | 3.9 KiB | 2023-03-20 17:04:37 |
release-plz-doc-0.3.65-r0.apk | 3.9 KiB | 2024-05-06 14:08:41 |
makeclapman-doc-2.4.1-r0.apk | 3.9 KiB | 2024-05-09 13:42:45 |
dfl-applications-dev-0.2.0-r0.apk | 3.9 KiB | 2023-12-30 13:27:24 |
mint-y-theme-2.1.1-r0.apk | 3.9 KiB | 2023-06-12 15:01:22 |
dfl-sni-dev-0.2.0-r0.apk | 3.9 KiB | 2023-12-30 13:27:24 |
w_scan2-doc-1.0.15-r0.apk | 3.9 KiB | 2024-01-04 08:02:38 |
py3-sphinxcontrib-manpage-0.6-r8.apk | 3.9 KiB | 2024-04-15 21:52:03 |
perl-text-brew-doc-0.02-r5.apk | 3.9 KiB | 2023-07-04 00:01:46 |
repgrep-fish-completion-0.15.0-r0.apk | 3.9 KiB | 2024-01-04 19:32:03 |
perl-sort-versions-doc-1.62-r0.apk | 3.9 KiB | 2024-02-10 01:02:23 |
docbook2mdoc-doc-1.1.0-r1.apk | 3.9 KiB | 2022-11-02 08:46:57 |
ovn-openrc-24.03.1-r0.apk | 3.9 KiB | 2024-04-12 06:16:43 |
py3-untokenize-0.1.1-r3.apk | 3.9 KiB | 2024-04-15 21:52:05 |
perl-tickit-widget-floatbox-doc-0.11-r0.apk | 3.9 KiB | 2024-01-14 12:55:06 |
igrep-doc-1.2.0-r0.apk | 3.9 KiB | 2023-08-09 15:40:58 |
libirecovery-dev-1.1.0-r0.apk | 3.9 KiB | 2023-05-27 09:50:49 |
checkpolicy-doc-3.6-r0.apk | 3.9 KiB | 2024-01-08 09:44:29 |
rauc-doc-1.10.1-r0.apk | 3.9 KiB | 2023-08-08 17:42:16 |
xvidtune-doc-1.0.4-r0.apk | 3.9 KiB | 2023-02-05 00:37:54 |
perl-clone-pp-doc-1.08-r1.apk | 3.9 KiB | 2023-07-04 00:01:45 |
perl-dancer-session-cookie-doc-0.30-r2.apk | 3.9 KiB | 2023-07-04 00:01:45 |
uxn-doc-1.0-r0.apk | 3.9 KiB | 2024-03-23 15:22:33 |
perl-test-describeme-doc-0.004-r0.apk | 3.9 KiB | 2024-04-16 17:38:49 |
otrs-apache2-6.0.48-r1.apk | 3.9 KiB | 2024-01-15 09:42:35 |
perl-datetime-format-rfc3339-doc-1.8.0-r0.apk | 3.9 KiB | 2024-01-22 11:52:04 |
perl-ev-hiredis-doc-0.07-r0.apk | 3.9 KiB | 2024-01-17 12:17:26 |
perl-sentinel-doc-0.07-r0.apk | 3.9 KiB | 2024-01-18 23:48:19 |
py3-django-js-asset-pyc-2.2-r2.apk | 3.9 KiB | 2024-04-15 21:51:31 |
flightgear-bash-completion-2020.3.19-r1.apk | 3.9 KiB | 2024-04-22 18:58:11 |
firewalld-bash-completion-2.1.2-r0.apk | 3.9 KiB | 2024-04-13 17:05:19 |
perl-log-message-simple-0.10-r3.apk | 4.0 KiB | 2023-07-04 00:01:46 |
py3-mando-doc-0.7.1-r2.apk | 4.0 KiB | 2024-04-15 21:51:54 |
perl-i18n-langinfo-wide-9-r4.apk | 4.0 KiB | 2023-07-04 00:01:46 |
perl-context-preserve-doc-0.03-r4.apk | 4.0 KiB | 2023-07-04 00:01:45 |
libjodycode-dev-3.1-r1.apk | 4.0 KiB | 2023-07-29 20:02:51 |
perl-file-mmagic-xs-doc-0.09008-r3.apk | 4.0 KiB | 2023-07-04 00:01:46 |
py3-fastdiff-pyc-0.3.0-r4.apk | 4.0 KiB | 2024-04-15 21:51:33 |
release-plz-zsh-completion-0.3.65-r0.apk | 4.0 KiB | 2024-05-06 14:08:41 |
tnef-doc-1.4.18-r0.apk | 4.0 KiB | 2024-04-01 18:10:16 |
transmission-remote-gtk-doc-1.6.0-r0.apk | 4.0 KiB | 2023-06-20 16:08:28 |
py3-daterangestr-pyc-0.0.3-r8.apk | 4.0 KiB | 2024-04-15 21:51:31 |
perl-clone-choose-doc-0.010-r4.apk | 4.0 KiB | 2023-07-04 00:01:45 |
py3-visitor-0.1.3-r6.apk | 4.0 KiB | 2024-04-15 21:52:05 |
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk | 4.0 KiB | 2024-04-15 21:52:03 |
perl-test-api-doc-0.010-r2.apk | 4.0 KiB | 2023-07-04 00:01:46 |
py3-bottle-werkzeug-pyc-0.1.1-r9.apk | 4.0 KiB | 2024-04-15 21:51:29 |
powerstat-doc-0.04.01-r0.apk | 4.0 KiB | 2024-01-03 12:58:17 |
py3-barcodenumber-pyc-0.2.1-r10.apk | 4.0 KiB | 2024-04-15 21:51:29 |
perl-devel-refcount-doc-0.10-r0.apk | 4.0 KiB | 2024-01-17 12:17:26 |
py3-bottle-pgsql-0.2-r5.apk | 4.0 KiB | 2024-04-15 21:51:29 |
perl-future-queue-doc-0.52-r0.apk | 4.0 KiB | 2024-01-15 21:00:53 |
gmenuharness-dev-0.1.4-r0.apk | 4.0 KiB | 2023-11-05 16:35:00 |
libaudec-dev-0.3.4-r3.apk | 4.0 KiB | 2023-07-29 20:02:48 |
pash-2.3.0-r2.apk | 4.0 KiB | 2022-11-02 08:48:45 |
scrypt-doc-1.3.2-r0.apk | 4.0 KiB | 2023-10-03 09:43:12 |
ko-fish-completion-0.15.2-r1.apk | 4.0 KiB | 2024-04-07 00:48:57 |
buf-fish-completion-1.31.0-r0.apk | 4.0 KiB | 2024-05-04 11:02:43 |
libdng-doc-0.1.1-r0.apk | 4.0 KiB | 2024-01-21 22:37:11 |
perl-dbix-datasource-0.02-r5.apk | 4.0 KiB | 2023-07-04 00:01:46 |
qpdfview-doc-0.5-r0.apk | 4.0 KiB | 2023-02-13 14:23:31 |
py3-pyqrcode-doc-1.2.1-r0.apk | 4.0 KiB | 2024-05-09 03:06:13 |
perl-musicbrainz-discid-doc-0.06-r0.apk | 4.0 KiB | 2023-08-22 17:28:39 |
wgcf-fish-completion-2.2.22-r0.apk | 4.0 KiB | 2024-05-04 11:23:01 |
zot-cli-fish-completion-2.0.4-r1.apk | 4.0 KiB | 2024-05-09 22:17:33 |
colormake-0.9.20170221-r0.apk | 4.0 KiB | 2017-09-06 12:04:44 |
hikari-unlocker-2.3.3-r6.apk | 4.0 KiB | 2024-02-02 21:18:03 |
atlas-fish-completion-0.22.0-r0.apk | 4.1 KiB | 2024-05-04 11:20:48 |
regal-fish-completion-0.21.3-r0.apk | 4.1 KiB | 2024-05-04 11:20:53 |
stern-fish-completion-1.29.0-r0.apk | 4.1 KiB | 2024-05-05 05:25:03 |
cilium-cli-fish-completion-0.16.6-r0.apk | 4.1 KiB | 2024-05-06 21:28:33 |
virter-fish-completion-0.27.0-r0.apk | 4.1 KiB | 2024-05-03 22:48:54 |
oras-cli-fish-completion-1.1.0-r2.apk | 4.1 KiB | 2024-04-07 00:50:03 |
flux-fish-completion-2.2.3-r2.apk | 4.1 KiB | 2024-04-07 00:47:23 |
xisxwayland-2-r1.apk | 4.1 KiB | 2023-07-29 20:03:01 |
hubble-cli-fish-completion-0.13.3-r0.apk | 4.1 KiB | 2024-05-06 21:28:35 |
k3sup-fish-completion-0.13.5-r2.apk | 4.1 KiB | 2024-04-07 00:48:25 |
xsane-doc-0.999-r1.apk | 4.1 KiB | 2022-12-16 07:48:46 |
perl-net-mqtt-trace-1.163170-r0.apk | 4.1 KiB | 2024-04-16 17:38:49 |
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk | 4.1 KiB | 2024-04-15 21:52:03 |
helmfile-fish-completion-0.162.0-r2.apk | 4.1 KiB | 2024-04-07 00:48:01 |
kubepug-fish-completion-1.7.1-r2.apk | 4.1 KiB | 2024-04-07 00:49:11 |
py3-daterangestr-0.0.3-r8.apk | 4.1 KiB | 2024-04-15 21:51:31 |
logc-czmq-0.1.0-r0.apk | 4.1 KiB | 2023-11-18 17:33:12 |
gambit-doc-4.9.5-r0.apk | 4.1 KiB | 2024-01-21 13:55:18 |
sing-box-fish-completion-1.8.4-r3.apk | 4.1 KiB | 2024-04-07 00:50:46 |
colorpicker-0_git20201128-r1.apk | 4.1 KiB | 2022-11-02 08:46:44 |
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk | 4.1 KiB | 2024-04-15 21:51:59 |
kompose-fish-completion-1.31.2-r2.apk | 4.1 KiB | 2024-04-07 00:48:58 |
perl-mojo-reactor-ioasync-doc-1.002-r0.apk | 4.1 KiB | 2024-01-12 13:03:55 |
netsurf-doc-3.11-r0.apk | 4.1 KiB | 2024-02-03 13:34:22 |
qsynth-doc-0.9.13-r0.apk | 4.1 KiB | 2024-02-03 20:58:18 |
perl-number-misc-doc-1.2-r5.apk | 4.1 KiB | 2023-10-17 11:19:33 |
kanister-tools-zsh-completion-0.107.0-r0.apk | 4.1 KiB | 2024-05-04 11:26:10 |
perl-template-plugin-number-format-doc-1.06-r4.apk | 4.1 KiB | 2023-07-04 00:01:46 |
py3-hurry.filesize-0.9-r7.apk | 4.1 KiB | 2024-04-15 21:51:35 |
perl-test-requires-git-doc-1.008-r0.apk | 4.1 KiB | 2024-02-05 16:10:07 |
py3-remind-pyc-0.18.0-r3.apk | 4.1 KiB | 2024-04-15 21:52:01 |
py3-flake8-print-pyc-5.0.0-r5.apk | 4.2 KiB | 2024-04-15 21:51:33 |
nvimpager-doc-0.12.0-r0.apk | 4.2 KiB | 2023-06-22 10:22:02 |
ocaml-stdlib-shims-0.3.0-r2.apk | 4.2 KiB | 2024-03-24 02:12:50 |
sct-2018.12.18-r1.apk | 4.2 KiB | 2021-10-28 20:50:45 |
perl-test2-tools-explain-doc-0.02-r0.apk | 4.2 KiB | 2024-03-09 06:50:30 |
a2jmidid-doc-9-r3.apk | 4.2 KiB | 2022-08-01 06:21:24 |
setroot-doc-2.0.2-r1.apk | 4.2 KiB | 2022-11-02 08:49:09 |
perl-datetime-format-rfc3339-1.8.0-r0.apk | 4.2 KiB | 2024-01-22 11:52:04 |
py3-marshmallow-enum-pyc-1.5.1-r7.apk | 4.2 KiB | 2024-04-15 21:51:54 |
py3-cjkwrap-2.2-r3.apk | 4.2 KiB | 2024-04-15 21:51:30 |
zrepl-bash-completion-0.6.1-r3.apk | 4.2 KiB | 2024-04-07 00:52:46 |
nvim-cmp-buffer-doc-0.0.0_git20220810-r0.apk | 4.2 KiB | 2022-10-13 20:59:39 |
py3-ask-pyc-0.0.8-r8.apk | 4.2 KiB | 2024-04-15 21:51:28 |
speedtest-go-doc-1.1.5-r7.apk | 4.2 KiB | 2024-04-07 00:50:55 |
paperkey-doc-1.6-r2.apk | 4.2 KiB | 2023-12-19 15:01:39 |
perl-anyevent-mqtt-monitor-1.212810-r0.apk | 4.3 KiB | 2024-04-16 17:38:49 |
eatmemory-0.1.6-r2.apk | 4.3 KiB | 2022-11-02 08:47:04 |
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk | 4.3 KiB | 2024-04-15 21:51:59 |
py3-click-default-group-pyc-1.2.4-r1.apk | 4.3 KiB | 2024-04-15 21:51:30 |
sblim-wbemcli-doc-1.6.3-r1.apk | 4.3 KiB | 2022-11-02 08:49:08 |
iprange-doc-1.0.4-r1.apk | 4.3 KiB | 2022-11-02 08:47:24 |
innernet-fish-completion-1.6.1-r0.apk | 4.3 KiB | 2024-02-23 19:45:55 |
perl-text-brew-0.02-r5.apk | 4.3 KiB | 2023-07-04 00:01:46 |
perl-sql-abstract-pg-doc-1.0-r0.apk | 4.3 KiB | 2024-01-12 13:03:55 |
gufw-doc-24.04-r1.apk | 4.3 KiB | 2024-04-15 21:50:57 |
telegram-tdlib-doc-1.8.9-r1.apk | 4.3 KiB | 2023-05-15 20:51:59 |
ffsend-zsh-completion-0.2.76-r4.apk | 4.3 KiB | 2023-07-01 05:24:56 |
flauschige-uhr-0.1-r1.apk | 4.3 KiB | 2022-11-02 08:47:09 |
slidge-doc-0.1.0-r1.apk | 4.3 KiB | 2024-04-15 21:52:07 |
perl-pod-cpandoc-0.16-r6.apk | 4.3 KiB | 2023-07-04 00:01:46 |
perl-uri-redis-doc-0.02-r0.apk | 4.3 KiB | 2024-01-15 21:00:53 |
perl-anyevent-mocktcpserver-doc-1.172150-r0.apk | 4.3 KiB | 2024-04-16 17:38:49 |
perl-clone-pp-1.08-r1.apk | 4.3 KiB | 2023-07-04 00:01:45 |
opkg-utils-doc-0.4.5-r1.apk | 4.3 KiB | 2022-11-02 08:48:45 |
perl-clone-choose-0.010-r4.apk | 4.3 KiB | 2023-07-04 00:01:45 |
ticker-bash-completion-4.5.14-r5.apk | 4.3 KiB | 2024-04-07 00:51:20 |
fnf-doc-0.1-r0.apk | 4.3 KiB | 2024-03-09 07:45:17 |
perl-algorithm-cron-doc-0.10-r4.apk | 4.3 KiB | 2023-07-04 00:01:45 |
usbguard-bash-completion-1.1.2-r8.apk | 4.3 KiB | 2024-01-03 19:29:59 |
hub-bash-completion-2.14.2-r22.apk | 4.3 KiB | 2024-04-07 00:48:04 |
z-1.12-r0.apk | 4.3 KiB | 2023-12-10 22:36:11 |
ry-0.5.2-r1.apk | 4.4 KiB | 2022-11-02 08:49:08 |
wiki-tui-doc-0.8.2-r0.apk | 4.4 KiB | 2023-08-12 06:07:43 |
codeberg-cli-fish-completion-0.4.0-r0.apk | 4.4 KiB | 2024-05-02 23:12:32 |
apt-mirror-doc-0.5.4-r0.apk | 4.4 KiB | 2023-01-05 22:23:20 |
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk | 4.4 KiB | 2024-01-14 12:55:06 |
flameshot-doc-12.1.0-r3.apk | 4.4 KiB | 2023-10-08 10:48:28 |
rattler-build-fish-completion-0.16.0-r0.apk | 4.4 KiB | 2024-05-06 21:28:36 |
ruby-build-doc-20240423-r0.apk | 4.4 KiB | 2024-04-30 22:06:40 |
sentinel-proxy-dev-2.1.0-r0.apk | 4.4 KiB | 2023-11-18 17:33:12 |
spread-sheet-widget-doc-0.8-r0.apk | 4.4 KiB | 2021-11-13 22:27:10 |
py3-bottle-websocket-0.2.9-r8.apk | 4.4 KiB | 2024-04-15 21:51:29 |
trippy-zsh-completion-0.10.0-r0.apk | 4.4 KiB | 2024-04-05 22:46:55 |
alarmwakeup-utils-0.2.1-r0.apk | 4.4 KiB | 2023-10-06 06:01:43 |
yaru-common-23.10.0-r0.apk | 4.4 KiB | 2024-04-18 02:08:42 |
clevis-extra-pins-0_git20230629-r0.apk | 4.4 KiB | 2024-02-13 23:32:05 |
py3-pip-system-certs-pyc-4.0-r1.apk | 4.4 KiB | 2024-04-15 21:52:00 |
surf-doc-2.1-r2.apk | 4.4 KiB | 2023-02-22 21:45:10 |
eboard-doc-1.1.3-r1.apk | 4.4 KiB | 2023-07-29 20:02:42 |
quodlibet-bash-completion-4.6.0-r1.apk | 4.4 KiB | 2024-04-15 21:52:06 |
perl-tickit-widget-floatbox-0.11-r0.apk | 4.4 KiB | 2024-01-14 12:55:06 |
libwhich-1.2.0-r0.apk | 4.4 KiB | 2022-11-27 16:49:56 |
py3-bottle-sqlite-0.2.0-r7.apk | 4.4 KiB | 2024-04-15 21:51:29 |
perl-url-encode-doc-0.03-r4.apk | 4.4 KiB | 2023-07-04 00:01:46 |
py3-crc16-pyc-0.1.1-r10.apk | 4.4 KiB | 2024-04-15 21:51:30 |
stgit-bash-completion-1.3-r5.apk | 4.5 KiB | 2024-04-15 21:52:07 |
i2util-doc-4.2.1-r1.apk | 4.5 KiB | 2022-11-02 08:47:23 |
ansiweather-1.19.0-r1.apk | 4.5 KiB | 2023-07-29 20:02:41 |
jsmn-1.1.0-r2.apk | 4.5 KiB | 2024-01-19 17:04:10 |
console_bridge-dev-1.0.2-r0.apk | 4.5 KiB | 2022-11-08 20:59:06 |
py3-ovos-microphone-plugin-alsa-pyc-0.0.0-r1.apk | 4.5 KiB | 2024-04-15 21:51:59 |
mkdocs-bootswatch-pyc-1.1-r4.apk | 4.5 KiB | 2024-04-15 21:51:19 |
apache-mod-auth-openidc-doc-2.4.15.7-r1.apk | 4.5 KiB | 2024-05-07 03:39:29 |
perl-mojo-reactor-ioasync-1.002-r0.apk | 4.5 KiB | 2024-01-12 13:03:55 |
xmag-doc-1.0.7-r1.apk | 4.5 KiB | 2023-08-01 12:38:08 |
libuecc-dev-7-r3.apk | 4.5 KiB | 2023-10-18 16:23:34 |
octoprint-creality2xfix-0.0.4-r1.apk | 4.5 KiB | 2024-04-16 00:40:05 |
sc-im-doc-0.8.3-r0.apk | 4.5 KiB | 2023-03-13 09:12:20 |
hx-doc-1.0.14-r0.apk | 4.5 KiB | 2023-11-04 10:25:32 |
perl-test-requires-git-1.008-r0.apk | 4.5 KiB | 2024-02-05 16:10:07 |
py3-stringcase-1.2.0-r8.apk | 4.5 KiB | 2024-04-13 17:05:21 |
perl-email-reply-doc-1.204-r5.apk | 4.5 KiB | 2023-07-04 00:01:46 |
perl-xml-rpc-doc-2.0-r1.apk | 4.5 KiB | 2023-07-04 00:01:46 |
base64c-0.2.1-r0.apk | 4.6 KiB | 2023-11-18 17:33:12 |
hyprcursor-dev-0.1.7-r0.apk | 4.6 KiB | 2024-04-23 08:03:23 |
py3-bottle-sqlalchemy-0.4.3-r8.apk | 4.6 KiB | 2024-04-15 21:51:29 |
perl-perlio-locale-0.10-r11.apk | 4.6 KiB | 2023-07-04 00:01:46 |
pastel-zsh-completion-0.9.0-r2.apk | 4.6 KiB | 2023-07-01 05:25:16 |
linuxptp-hwstamp_ctl-4.2-r0.apk | 4.6 KiB | 2023-12-20 18:54:36 |
perl-git-version-compare-doc-1.005-r0.apk | 4.6 KiB | 2024-02-05 16:10:07 |
py3-nanoid-2.0.0-r2.apk | 4.6 KiB | 2024-04-15 21:51:55 |
ecm-dev-7.0.5-r1.apk | 4.6 KiB | 2023-05-15 20:51:34 |
snore-0.3.1-r0.apk | 4.6 KiB | 2023-11-14 19:46:42 |
py3-bottle-api-0.0.4-r7.apk | 4.6 KiB | 2024-04-15 21:51:29 |
horizon-dev-0.9.6-r9.apk | 4.6 KiB | 2024-04-22 18:58:15 |
php82-pecl-apfd-1.0.3-r0.apk | 4.6 KiB | 2023-12-20 16:48:58 |
php83-pecl-apfd-1.0.3-r0.apk | 4.6 KiB | 2023-12-20 16:48:58 |
codeberg-cli-bash-completion-0.4.0-r0.apk | 4.6 KiB | 2024-05-02 23:12:32 |
perl-pod-cpandoc-doc-0.16-r6.apk | 4.6 KiB | 2023-07-04 00:01:46 |
kanister-tools-fish-completion-0.107.0-r0.apk | 4.6 KiB | 2024-05-04 11:26:10 |
perl-template-plugin-number-format-1.06-r4.apk | 4.6 KiB | 2023-07-04 00:01:46 |
perl-anyevent-mocktcpserver-1.172150-r0.apk | 4.7 KiB | 2024-04-16 17:38:49 |
perl-test-utf8-doc-1.02-r2.apk | 4.7 KiB | 2023-07-04 00:01:46 |
perl-dancer-plugin-dbic-0.2104-r5.apk | 4.7 KiB | 2023-07-04 00:01:45 |
xfd-doc-1.1.4-r0.apk | 4.7 KiB | 2022-12-04 09:17:20 |
py3-setuptools-declarative-requirements-pyc-1.3.0-r3.apk | 4.7 KiB | 2024-04-15 21:52:02 |
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk | 4.7 KiB | 2024-04-15 21:52:03 |
usbguard-notifier-doc-0.1.0-r0.apk | 4.7 KiB | 2023-02-09 00:59:20 |
openslide-doc-3.4.1-r3.apk | 4.7 KiB | 2023-08-01 12:38:05 |
py3-scs-pyc-3.2.3-r3.apk | 4.7 KiB | 2024-04-15 21:52:01 |
perl-test-settings-0.003-r0.apk | 4.7 KiB | 2024-04-16 17:38:49 |
py3-flask-autorouter-pyc-0.2.2-r3.apk | 4.7 KiB | 2024-04-15 21:51:34 |
py3-pytest-expect-1.1.0-r9.apk | 4.7 KiB | 2024-04-17 02:55:21 |
perl-uri-tcp-doc-2.0.0-r0.apk | 4.7 KiB | 2024-01-15 21:00:53 |
pdf2svg-0.2.3-r1.apk | 4.7 KiB | 2022-11-02 08:48:45 |
py3-django-taggit-serializer-pyc-0.1.7-r8.apk | 4.7 KiB | 2024-04-15 21:51:31 |
alarmwakeup-libs-0.2.1-r0.apk | 4.7 KiB | 2023-10-06 06:01:43 |
py3-ovos-phal-plugin-connectivity-events-0.0.3-r1.apk | 4.7 KiB | 2024-04-15 21:51:59 |
perl-test-redisserver-0.23-r0.apk | 4.7 KiB | 2024-01-17 12:17:26 |
virtctl-bash-completion-1.2.0-r0.apk | 4.7 KiB | 2024-05-04 11:32:19 |
git-revise-doc-0.7.0-r4.apk | 4.7 KiB | 2024-04-15 21:50:57 |
py3-flask-accept-0.0.6-r1.apk | 4.7 KiB | 2024-04-15 21:51:33 |
py3-sphinxcontrib-slide-1.0.0-r3.apk | 4.7 KiB | 2024-04-15 21:52:03 |
asteroid-camera-2.0.0-r0.apk | 4.7 KiB | 2023-08-31 09:43:21 |
glow-bash-completion-1.5.1-r6.apk | 4.7 KiB | 2024-04-07 00:47:36 |
mangal-bash-completion-4.0.6-r10.apk | 4.7 KiB | 2024-04-07 00:49:30 |
py3-ask-0.0.8-r8.apk | 4.7 KiB | 2024-04-15 21:51:28 |
caps2esc-0.3.2-r0.apk | 4.8 KiB | 2023-06-29 09:30:45 |
ckb-next-dev-0.6.0-r1.apk | 4.8 KiB | 2023-07-19 19:11:13 |
nmail-oauth-4.54-r0.apk | 4.8 KiB | 2024-03-24 16:11:09 |
libcork-tools-0.15.0-r7.apk | 4.8 KiB | 2023-12-07 15:23:54 |
zot-cli-bash-completion-2.0.4-r1.apk | 4.8 KiB | 2024-05-09 22:17:33 |
regal-bash-completion-0.21.3-r0.apk | 4.8 KiB | 2024-05-04 11:20:53 |
linux-timemachine-1.3.2-r0.apk | 4.8 KiB | 2022-11-20 21:09:32 |
ko-bash-completion-0.15.2-r1.apk | 4.8 KiB | 2024-04-07 00:48:57 |
cilium-cli-bash-completion-0.16.6-r0.apk | 4.8 KiB | 2024-05-06 21:28:33 |
perl-io-async-resolver-dns-doc-0.06-r0.apk | 4.8 KiB | 2023-12-30 11:58:52 |
wgcf-bash-completion-2.2.22-r0.apk | 4.8 KiB | 2024-05-04 11:23:01 |
atlas-bash-completion-0.22.0-r0.apk | 4.8 KiB | 2024-05-04 11:20:48 |
perl-sql-abstract-pg-1.0-r0.apk | 4.8 KiB | 2024-01-12 13:03:55 |
oras-cli-bash-completion-1.1.0-r2.apk | 4.8 KiB | 2024-04-07 00:50:03 |
hubble-cli-bash-completion-0.13.3-r0.apk | 4.8 KiB | 2024-05-06 21:28:35 |
virter-bash-completion-0.27.0-r0.apk | 4.8 KiB | 2024-05-03 22:48:54 |
hyprcursor-doc-0.1.7-r0.apk | 4.8 KiB | 2024-04-23 08:03:23 |
cargo-run-bin-doc-1.7.2-r0.apk | 4.8 KiB | 2024-01-19 02:12:15 |
k3sup-bash-completion-0.13.5-r2.apk | 4.8 KiB | 2024-04-07 00:48:25 |
perl-protocol-redis-doc-1.0011-r0.apk | 4.8 KiB | 2024-01-12 13:03:55 |
lsip6-pyc-0.2.0-r1.apk | 4.8 KiB | 2024-04-15 21:51:19 |
py3-flask-autorouter-0.2.2-r3.apk | 4.8 KiB | 2024-04-15 21:51:34 |
py3-pygpgme-pyc-0.3.1-r8.apk | 4.8 KiB | 2024-04-15 21:52:00 |
perl-test-api-0.010-r2.apk | 4.8 KiB | 2023-07-04 00:01:46 |
rankwidth-static-0.9-r3.apk | 4.8 KiB | 2023-08-01 12:38:05 |
perl-algorithm-c3-doc-0.11-r1.apk | 4.8 KiB | 2023-07-04 00:01:45 |
apprise-doc-1.7.6-r0.apk | 4.8 KiB | 2024-04-15 23:37:03 |
edward-doc-1.0.1-r1.apk | 4.8 KiB | 2023-12-18 15:22:27 |
py3-ovos-phal-plugin-connectivity-events-pyc-0.0.3-r1.apk | 4.8 KiB | 2024-04-15 21:51:59 |
perl-anyevent-dns-etchosts-0.0105-r0.apk | 4.8 KiB | 2023-11-02 11:29:08 |
perl-linux-pid-0.04-r12.apk | 4.8 KiB | 2023-07-04 00:01:46 |
lxd-feature-bash-completion-5.20-r2.apk | 4.9 KiB | 2024-04-07 00:49:24 |
kubepug-bash-completion-1.7.1-r2.apk | 4.9 KiB | 2024-04-07 00:49:11 |
helmfile-bash-completion-0.162.0-r2.apk | 4.9 KiB | 2024-04-07 00:48:01 |
sing-box-bash-completion-1.8.4-r3.apk | 4.9 KiB | 2024-04-07 00:50:46 |
moderncli-doc-0.8.1-r0.apk | 4.9 KiB | 2024-02-13 21:03:31 |
py3-click-default-group-1.2.4-r1.apk | 4.9 KiB | 2024-04-15 21:51:30 |
perl-url-encode-0.03-r4.apk | 4.9 KiB | 2023-07-04 00:01:46 |
lomiri-thumbnailer-dev-3.0.3-r1.apk | 4.9 KiB | 2024-04-22 18:58:32 |
ngs-vim-0.2.14-r0.apk | 4.9 KiB | 2022-10-08 22:05:12 |
perl-path-iter-doc-0.2-r3.apk | 4.9 KiB | 2023-07-04 00:01:46 |
py3-radon-doc-6.0.1-r1.apk | 4.9 KiB | 2024-04-15 21:52:01 |
perl-color-ansi-util-doc-0.165-r0.apk | 4.9 KiB | 2024-04-16 11:29:09 |
perl-ffi-platypus-type-enum-doc-0.06-r0.apk | 4.9 KiB | 2024-02-03 09:53:58 |
perl-anyevent-future-0.05-r0.apk | 4.9 KiB | 2023-11-15 15:19:24 |
projectsandcastle-loader-0_git20200307-r1.apk | 4.9 KiB | 2022-11-02 08:48:56 |
perl-net-irr-doc-0.10-r0.apk | 4.9 KiB | 2024-03-14 08:38:49 |
kine-doc-0.10.1-r4.apk | 4.9 KiB | 2024-04-07 00:48:49 |
catcodec-doc-1.0.5-r2.apk | 4.9 KiB | 2022-05-15 13:29:48 |
py3-bottle-rest-pyc-0.6.0-r1.apk | 4.9 KiB | 2024-04-15 21:51:29 |
perl-digest-bcrypt-doc-1.212-r1.apk | 4.9 KiB | 2023-07-04 00:01:46 |
apache2-mod-realdoc-1-r1.apk | 4.9 KiB | 2019-03-04 21:49:28 |
paperde-dev-0.2.1-r1.apk | 4.9 KiB | 2023-04-23 06:02:26 |
perl-number-misc-1.2-r5.apk | 4.9 KiB | 2023-10-17 11:19:33 |
lua5.2-editorconfig-0.3.0-r0.apk | 4.9 KiB | 2021-04-12 07:30:39 |
git-bug-bash-completion-0.8.0-r11.apk | 4.9 KiB | 2024-04-07 00:47:35 |
minimodem-doc-0.24-r1.apk | 4.9 KiB | 2022-11-02 08:48:35 |
tre-dev-0.8.0-r2.apk | 4.9 KiB | 2023-05-15 20:52:01 |
py3-flake8-blind-except-0.2.1-r4.apk | 4.9 KiB | 2024-04-15 21:51:33 |
perl-ffi-platypus-type-enum-0.06-r0.apk | 4.9 KiB | 2024-02-03 09:53:58 |
py3-bottle-api-pyc-0.0.4-r7.apk | 5.0 KiB | 2024-04-15 21:51:29 |
rofi-pass-doc-2.0.2-r2.apk | 5.0 KiB | 2021-12-29 20:52:37 |
perl-path-iter-0.2-r3.apk | 5.0 KiB | 2023-07-04 00:01:46 |
perl-text-table-sprintf-doc-0.008-r0.apk | 5.0 KiB | 2024-02-25 17:21:34 |
py3-bottle-sqlite-pyc-0.2.0-r7.apk | 5.0 KiB | 2024-04-15 21:51:29 |
zita-njbridge-doc-0.4.8-r1.apk | 5.0 KiB | 2022-11-02 08:49:41 |
dnsenum-doc-1.3.2-r0.apk | 5.0 KiB | 2024-04-24 01:33:23 |
perl-string-compare-constanttime-doc-0.321-r5.apk | 5.0 KiB | 2023-07-04 00:01:46 |
py3-cjkwrap-pyc-2.2-r3.apk | 5.0 KiB | 2024-04-15 21:51:30 |
mobpass-pyc-0.2-r5.apk | 5.0 KiB | 2024-04-15 21:51:20 |
libopensmtpd-doc-0.7-r0.apk | 5.0 KiB | 2022-02-19 01:19:53 |
json2tsv-doc-1.1-r0.apk | 5.0 KiB | 2023-07-22 13:54:34 |
perl-test-memorygrowth-doc-0.04-r0.apk | 5.0 KiB | 2024-01-24 07:57:11 |
lua5.4-editorconfig-0.3.0-r0.apk | 5.0 KiB | 2021-04-12 07:30:39 |
lua5.3-editorconfig-0.3.0-r0.apk | 5.0 KiB | 2021-04-12 07:30:39 |
py3-grequests-0.7.0-r1.apk | 5.0 KiB | 2024-04-15 21:51:35 |
perl-guard-doc-1.023-r8.apk | 5.0 KiB | 2023-07-04 00:01:46 |
py3-marshmallow-enum-1.5.1-r7.apk | 5.0 KiB | 2024-04-15 21:51:54 |
xmp-doc-4.2.0-r0.apk | 5.1 KiB | 2023-08-20 21:54:18 |
lua-resty-redis-0.29-r0.apk | 5.1 KiB | 2023-02-16 20:59:35 |
py3-flake8-snippets-0.2-r8.apk | 5.1 KiB | 2024-04-15 21:51:33 |
xfce4-hamster-plugin-lang-1.17-r0.apk | 5.1 KiB | 2022-02-22 08:21:10 |
perl-sys-syscall-0.25-r9.apk | 5.1 KiB | 2024-01-04 01:13:43 |
perl-dbicx-sugar-doc-0.0200-r5.apk | 5.1 KiB | 2023-07-04 00:01:45 |
perl-net-async-redis-xs-doc-1.001-r0.apk | 5.1 KiB | 2024-01-24 07:57:11 |
perl-class-c3-componentised-doc-1.001002-r2.apk | 5.1 KiB | 2023-07-04 00:01:45 |
py3-flask-basicauth-0.2.0-r9.apk | 5.1 KiB | 2024-04-15 21:51:34 |
perl-dancer-plugin-dbic-doc-0.2104-r5.apk | 5.1 KiB | 2023-07-04 00:01:45 |
py3-pickle-secure-pyc-0.99.9-r1.apk | 5.1 KiB | 2024-04-14 17:05:06 |
perl-text-table-sprintf-0.008-r0.apk | 5.1 KiB | 2024-02-25 17:21:34 |
wmctrl-doc-1.07-r1.apk | 5.1 KiB | 2022-02-18 14:45:03 |
minidyndns-doc-1.3.0-r3.apk | 5.1 KiB | 2021-10-20 03:31:50 |
py3-flake8-isort-pyc-6.1.1-r1.apk | 5.1 KiB | 2024-04-15 21:51:33 |
envsubst-0.1-r1.apk | 5.1 KiB | 2022-06-28 05:30:12 |
libmpfi-dev-1.5.4-r2.apk | 5.1 KiB | 2023-08-01 12:38:02 |
lomiri-action-api-dev-1.1.3-r0.apk | 5.1 KiB | 2024-02-06 23:41:36 |
rattler-build-zsh-completion-0.16.0-r0.apk | 5.1 KiB | 2024-05-06 21:28:36 |
findtow-0.1-r0.apk | 5.1 KiB | 2022-01-27 01:32:36 |
startup-fish-completion-2.0.3-r4.apk | 5.1 KiB | 2023-07-01 05:25:17 |
perl-git-version-compare-1.005-r0.apk | 5.1 KiB | 2024-02-05 16:10:07 |
serialdv-dev-1.1.4-r0.apk | 5.2 KiB | 2023-02-22 11:32:57 |
ghq-doc-1.6.1-r0.apk | 5.2 KiB | 2024-05-05 05:24:56 |
perl-anyevent-future-doc-0.05-r0.apk | 5.2 KiB | 2023-11-15 15:19:24 |
py3-setuptools-lint-0.6.0-r9.apk | 5.2 KiB | 2024-04-15 21:52:02 |
ocaml-mirage-random-dev-3.0.0-r3.apk | 5.2 KiB | 2024-03-24 02:12:20 |
py3-click-threading-0.5.0-r4.apk | 5.2 KiB | 2024-04-15 21:51:30 |
spvm-mime-base64-doc-1.001003-r0.apk | 5.2 KiB | 2024-04-10 23:39:36 |
flightgear-zsh-completion-2020.3.19-r1.apk | 5.2 KiB | 2024-04-22 18:58:14 |
perl-test-class-tiny-doc-0.03-r0.apk | 5.2 KiB | 2024-02-05 16:12:54 |
vbindiff-doc-3.0_beta5-r1.apk | 5.2 KiB | 2022-11-02 08:49:35 |
innernet-zsh-completion-1.6.1-r0.apk | 5.2 KiB | 2024-02-23 19:45:55 |
perl-dancer-session-cookie-0.30-r2.apk | 5.2 KiB | 2023-07-04 00:01:45 |
perl-sort-naturally-doc-1.03-r4.apk | 5.2 KiB | 2023-07-04 00:01:46 |
perl-conf-libconfig-doc-1.0.0-r1.apk | 5.2 KiB | 2023-07-04 00:01:45 |
perl-net-irr-0.10-r0.apk | 5.2 KiB | 2024-03-14 08:38:49 |
perl-data-validate-domain-doc-0.15-r0.apk | 5.2 KiB | 2024-01-14 12:53:34 |
php81-ctype-8.1.28-r0.apk | 5.2 KiB | 2024-04-10 23:39:34 |
logc-config-0.5.0-r0.apk | 5.2 KiB | 2023-11-18 17:33:12 |
bm818-tools-0.7-r0.apk | 5.2 KiB | 2023-12-16 15:13:44 |
lsip6-0.2.0-r1.apk | 5.2 KiB | 2024-04-15 21:51:19 |
tick-doc-1.1.0-r0.apk | 5.2 KiB | 2024-04-27 10:53:24 |
upterm-bash-completion-0.13.5-r0.apk | 5.3 KiB | 2024-05-06 21:28:36 |
py3-requests-wsgi-adapter-0.4.1-r1.apk | 5.3 KiB | 2024-04-15 21:52:01 |
boxed-cpp-doc-1.4.0-r0.apk | 5.3 KiB | 2024-03-02 15:02:22 |
py3-sphinx-theme-guzzle-pyc-0.7.11-r6.apk | 5.3 KiB | 2024-04-15 21:52:03 |
perl-class-c3-componentised-1.001002-r2.apk | 5.3 KiB | 2023-07-04 00:01:45 |
qperf-doc-0.4.11-r1.apk | 5.3 KiB | 2022-11-02 08:49:04 |
wol-doc-0.7.1-r2.apk | 5.3 KiB | 2023-08-17 17:12:52 |
woodpecker-doc-2.3.0-r3.apk | 5.3 KiB | 2024-04-07 09:10:37 |
etcd-doc-3.5.13-r1.apk | 5.3 KiB | 2024-04-07 00:47:10 |
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk | 5.3 KiB | 2024-04-15 21:52:03 |
macchina-doc-6.1.8-r1.apk | 5.3 KiB | 2023-05-24 07:48:19 |
tayga-doc-0.9.2-r0.apk | 5.3 KiB | 2023-01-23 07:27:03 |
py3-flask-loopback-1.4.7-r7.apk | 5.3 KiB | 2024-04-15 21:51:35 |
kanister-tools-bash-completion-0.107.0-r0.apk | 5.3 KiB | 2024-05-04 11:26:10 |
perl-protocol-redis-1.0011-r0.apk | 5.3 KiB | 2024-01-12 13:03:55 |
perl-digest-bcrypt-1.212-r1.apk | 5.3 KiB | 2023-07-04 00:01:46 |
hexedit-doc-1.6_git20230905-r0.apk | 5.3 KiB | 2024-04-04 14:58:12 |
kompose-bash-completion-1.31.2-r2.apk | 5.3 KiB | 2024-04-07 00:48:58 |
neard-doc-0.19-r0.apk | 5.3 KiB | 2023-09-19 19:51:06 |
sane-airscan-doc-0.99.27-r1.apk | 5.3 KiB | 2023-04-29 21:47:35 |
neo4j-client-doc-2.2.0-r3.apk | 5.4 KiB | 2022-08-20 20:31:54 |
py3-flask-markdown-0.3-r8.apk | 5.4 KiB | 2024-04-15 21:51:35 |
php82-snappy-0.2.1-r1.apk | 5.4 KiB | 2023-05-10 15:08:40 |
perl-test-memorygrowth-0.04-r0.apk | 5.4 KiB | 2024-01-24 07:57:11 |
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk | 5.4 KiB | 2024-04-15 21:51:29 |
perl-hash-merge-doc-0.302-r2.apk | 5.4 KiB | 2023-07-04 00:01:46 |
perl-variable-disposition-doc-0.005-r0.apk | 5.4 KiB | 2024-01-15 21:00:53 |
ocaml-tophide-1.0.4-r2.apk | 5.4 KiB | 2024-03-24 02:12:51 |
py3-ticket-auth-0.1.4-r8.apk | 5.4 KiB | 2024-04-15 21:52:04 |
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk | 5.4 KiB | 2024-04-15 21:52:03 |
perl-lwp-useragent-cached-doc-0.08-r1.apk | 5.4 KiB | 2023-07-04 00:01:46 |
perl-algorithm-c3-0.11-r1.apk | 5.4 KiB | 2023-07-04 00:01:45 |
perl-aliased-0.34-r4.apk | 5.4 KiB | 2023-07-04 00:01:45 |
harminv-doc-1.4.2-r1.apk | 5.4 KiB | 2023-10-08 17:25:58 |
perl-freezethaw-doc-0.5001-r2.apk | 5.4 KiB | 2023-07-04 00:01:46 |
lockrun-1.1.3-r1.apk | 5.4 KiB | 2022-11-02 08:48:21 |
base64c-dev-0.2.1-r0.apk | 5.4 KiB | 2023-11-18 17:33:12 |
perl-aliased-doc-0.34-r4.apk | 5.4 KiB | 2023-07-04 00:01:45 |
py3-sphinxcontrib-bitbucket-1.0-r8.apk | 5.4 KiB | 2024-04-15 21:52:03 |
git2json-pyc-0.2.3-r8.apk | 5.4 KiB | 2024-04-15 14:41:19 |
perl-lwp-online-doc-1.08-r0.apk | 5.4 KiB | 2024-01-12 13:03:55 |
py3-banal-1.0.6-r3.apk | 5.4 KiB | 2024-04-15 21:51:29 |
mobpass-0.2-r5.apk | 5.4 KiB | 2024-04-15 21:51:20 |
perl-scalar-readonly-0.03-r0.apk | 5.4 KiB | 2024-03-09 06:50:30 |
materia-chromium-20210322-r1.apk | 5.4 KiB | 2022-11-02 08:48:32 |
py3-rst-0.1-r8.apk | 5.5 KiB | 2024-04-15 21:52:01 |
py3-flake8-polyfill-pyc-1.0.2-r4.apk | 5.5 KiB | 2024-04-15 21:51:33 |
materia-compact-chromium-20210322-r1.apk | 5.5 KiB | 2022-11-02 08:48:32 |
autorandr-launcher-1.15-r0.apk | 5.5 KiB | 2024-03-16 12:36:56 |
libvoikko-doc-4.3.2-r1.apk | 5.5 KiB | 2024-04-15 21:51:18 |
materia-dark-chromium-20210322-r1.apk | 5.5 KiB | 2022-11-02 08:48:32 |
py3-sphinxcontrib-cacoo-2.0.0-r7.apk | 5.5 KiB | 2024-04-15 21:52:03 |
rankwidth-libs-0.9-r3.apk | 5.5 KiB | 2023-08-01 12:38:05 |
py3-spinners-0.0.24-r4.apk | 5.5 KiB | 2024-04-15 21:52:03 |
termbox-dev-1.1.2-r1.apk | 5.5 KiB | 2023-05-15 20:52:01 |
materia-dark-compact-chromium-20210322-r1.apk | 5.5 KiB | 2022-11-02 08:48:32 |
luksmeta-doc-9-r0.apk | 5.5 KiB | 2022-06-17 11:58:24 |
utop-doc-2.9.1-r4.apk | 5.5 KiB | 2024-04-04 10:21:27 |
autoconf-policy-0.1-r0.apk | 5.5 KiB | 2020-06-12 07:05:06 |
font-fantasque-sans-doc-1.8.0-r0.apk | 5.5 KiB | 2019-11-22 15:18:45 |
perl-io-sessiondata-1.03-r3.apk | 5.5 KiB | 2023-07-04 00:01:46 |
py3-notifymail-pyc-1.1-r7.apk | 5.5 KiB | 2024-04-15 21:51:55 |
mrsh-0_git20210518-r1.apk | 5.5 KiB | 2022-11-02 08:48:37 |
dfu-programmer-doc-1.1.0-r0.apk | 5.5 KiB | 2023-07-10 20:18:47 |
turnstile-doc-0.1.8-r0.apk | 5.5 KiB | 2023-09-03 04:03:32 |
kind-bash-completion-0.22.0-r2.apk | 5.5 KiB | 2024-04-07 00:48:47 |
fcitx5-bamboo-lang-1.0.5-r0.apk | 5.5 KiB | 2024-05-10 03:39:43 |
stern-bash-completion-1.29.0-r0.apk | 5.5 KiB | 2024-05-05 05:25:03 |
py3-simplematch-pyc-1.4-r1.apk | 5.5 KiB | 2024-04-15 21:52:02 |
py3-flask-bcrypt-pyc-1.0.1-r5.apk | 5.5 KiB | 2024-04-15 21:51:34 |
gsimplecal-doc-2.5.1-r0.apk | 5.5 KiB | 2024-02-10 01:12:50 |
perl-data-dumper-concise-2.023-r4.apk | 5.5 KiB | 2023-07-04 00:01:45 |
py3-slixmpp-doc-1.8.5-r2.apk | 5.5 KiB | 2024-04-14 17:05:06 |
py3-django-js-asset-2.2-r2.apk | 5.5 KiB | 2024-04-15 21:51:31 |
prosody-mod-mam_muc-0.11_hg20201208-r0.apk | 5.6 KiB | 2020-12-08 21:10:45 |
lemonbar-doc-1.4-r1.apk | 5.6 KiB | 2022-11-02 08:47:57 |
perl-data-validate-domain-0.15-r0.apk | 5.6 KiB | 2024-01-14 12:53:34 |
perl-xml-rpc-2.0-r1.apk | 5.6 KiB | 2023-07-04 00:01:46 |
bgs-0.8-r1.apk | 5.6 KiB | 2022-11-02 08:46:35 |
dex-doc-0.9.0-r1.apk | 5.6 KiB | 2023-10-19 16:18:21 |
fpp-doc-0.9.5-r0.apk | 5.6 KiB | 2022-02-14 22:13:02 |
perl-data-validate-ip-doc-0.31-r1.apk | 5.6 KiB | 2023-07-04 00:01:45 |
libimobiledevice-glue-dev-1.0.0-r1.apk | 5.6 KiB | 2023-07-29 20:02:51 |
f_scripts-f_phone-0.5-r2.apk | 5.6 KiB | 2023-09-11 20:17:47 |
logc-libs-dev-0.1.0-r0.apk | 5.6 KiB | 2023-11-18 17:33:12 |
startup-dev-2.0.3-r4.apk | 5.6 KiB | 2023-07-01 05:25:17 |
dbus-broker-doc-35-r0.apk | 5.6 KiB | 2024-01-04 19:26:40 |
perl-test-class-tiny-0.03-r0.apk | 5.6 KiB | 2024-02-05 16:12:54 |
py3-grequests-pyc-0.7.0-r1.apk | 5.6 KiB | 2024-04-15 21:51:35 |
git-cola-doc-4.4.1-r1.apk | 5.6 KiB | 2024-04-15 21:50:57 |
spin-doc-6.5.2-r1.apk | 5.6 KiB | 2022-11-02 08:49:16 |
perl-dbicx-sugar-0.0200-r5.apk | 5.6 KiB | 2023-07-04 00:01:45 |
perl-test-utf8-1.02-r2.apk | 5.6 KiB | 2023-07-04 00:01:46 |
spvm-thread-doc-0.001-r0.apk | 5.6 KiB | 2024-04-10 23:39:36 |
py3-flake8-polyfill-1.0.2-r4.apk | 5.7 KiB | 2024-04-15 21:51:33 |
xob-doc-0.3-r0.apk | 5.7 KiB | 2023-02-12 00:20:31 |
spvm-errno-doc-0.092-r0.apk | 5.7 KiB | 2024-04-10 23:39:36 |
py3-flake8-debugger-pyc-4.1.2-r4.apk | 5.7 KiB | 2024-04-15 21:51:33 |
libhwpwm-0.4.4-r0.apk | 5.7 KiB | 2023-09-29 15:19:20 |
py3-pytap2-pyc-2.3.0-r0.apk | 5.7 KiB | 2024-05-09 03:06:13 |
rofi-json-menu-0.2.0-r0.apk | 5.7 KiB | 2022-02-01 20:25:48 |
py3-setuptools-lint-pyc-0.6.0-r9.apk | 5.7 KiB | 2024-04-15 21:52:02 |
pixi-bash-completion-0.21.1-r0.apk | 5.8 KiB | 2024-05-09 03:06:12 |
spacectl-fish-completion-0.30.0-r2.apk | 5.8 KiB | 2024-04-07 00:50:54 |
sysls-2-r1.apk | 5.8 KiB | 2024-04-15 21:52:07 |
hdf4-doc-4.2.15-r1.apk | 5.8 KiB | 2023-05-15 20:51:45 |
pcsc-tools-doc-1.7.1-r0.apk | 5.8 KiB | 2023-12-31 20:26:22 |
perl-test-settings-doc-0.003-r0.apk | 5.8 KiB | 2024-04-16 17:38:49 |
mint-x-theme-metacity-2.1.1-r0.apk | 5.8 KiB | 2023-06-12 15:01:22 |
py3-class-doc-1.25-r1.apk | 5.8 KiB | 2024-04-15 21:51:30 |
perl-term-size-0.211-r3.apk | 5.8 KiB | 2023-07-04 00:01:46 |
memdump-1.01-r1.apk | 5.8 KiB | 2022-11-02 08:48:33 |
dislocker-doc-0.7.3-r5.apk | 5.8 KiB | 2024-04-13 17:05:19 |
ovos-messagebus-pyc-0.0.3-r1.apk | 5.8 KiB | 2024-04-15 21:51:24 |
perl-net-patricia-doc-1.22-r11.apk | 5.8 KiB | 2023-07-04 00:01:46 |
libhwpwm-dev-0.4.4-r0.apk | 5.8 KiB | 2023-09-29 15:19:20 |
perl-test-distribution-doc-2.00-r1.apk | 5.8 KiB | 2023-07-04 00:01:46 |
php81-sysvsem-8.1.28-r0.apk | 5.8 KiB | 2024-04-10 23:39:36 |
py3-pbkdf2-1.3-r6.apk | 5.8 KiB | 2024-04-15 21:51:59 |
perl-algorithm-cron-0.10-r4.apk | 5.8 KiB | 2023-07-04 00:01:45 |
py3-sphinxcontrib-textstyle-0.2.3-r8.apk | 5.8 KiB | 2024-04-15 21:52:03 |
py3-bottle-rest-0.6.0-r1.apk | 5.9 KiB | 2024-04-15 21:51:29 |
curlftpfs-doc-0.9.2-r3.apk | 5.9 KiB | 2022-11-02 08:46:53 |
py3-pycolorterm-0.2.1-r5.apk | 5.9 KiB | 2022-11-27 16:51:08 |
py3-rst-pyc-0.1-r8.apk | 5.9 KiB | 2024-04-15 21:52:01 |
shfm-doc-0.4.2-r1.apk | 5.9 KiB | 2022-11-02 08:49:09 |
restart-services-doc-0.17.0-r0.apk | 5.9 KiB | 2021-12-18 22:54:02 |
perl-email-reply-1.204-r5.apk | 5.9 KiB | 2023-07-04 00:01:46 |
prosody-mod-mam-0.11_hg20201208-r0.apk | 5.9 KiB | 2020-12-08 21:10:45 |
php81-gettext-8.1.28-r0.apk | 5.9 KiB | 2024-04-10 23:39:35 |
perl-lwp-online-1.08-r0.apk | 5.9 KiB | 2024-01-12 13:03:55 |
perl-ppi-xs-0.910-r0.apk | 5.9 KiB | 2024-02-24 12:50:42 |
perl-cgi-expand-doc-2.05-r4.apk | 5.9 KiB | 2023-07-04 00:01:45 |
virtualgl-dev-3.1-r0.apk | 5.9 KiB | 2023-06-20 21:32:50 |
remind-caldav-pyc-0.8.0-r3.apk | 5.9 KiB | 2024-04-15 21:52:07 |
fcitx5-m17n-lang-5.1.1-r0.apk | 5.9 KiB | 2024-05-10 03:39:43 |
leptosfmt-doc-0.1.18-r0.apk | 5.9 KiB | 2024-01-19 15:23:38 |
spvm-math-doc-1.001-r0.apk | 5.9 KiB | 2024-04-10 23:39:36 |
perl-test-toolbox-doc-0.4-r5.apk | 5.9 KiB | 2023-10-17 11:19:33 |
grip-doc-4.2.4-r0.apk | 5.9 KiB | 2023-01-26 19:27:48 |
lua5.3-apk3-3.0.0_pre2_git20240401-r0.apk | 5.9 KiB | 2024-04-02 19:48:16 |
py3-flask-qrcode-pyc-3.1.0-r4.apk | 5.9 KiB | 2024-04-15 21:51:35 |
kannel-doc-1.5.0-r11.apk | 5.9 KiB | 2023-04-29 21:47:16 |
perl-string-random-doc-0.32-r2.apk | 5.9 KiB | 2024-01-08 09:44:32 |
firewalld-zsh-completion-2.1.2-r0.apk | 5.9 KiB | 2024-04-13 17:05:19 |
py3-pytest-helpers-namespace-pyc-2021.12.29-r3.apk | 5.9 KiB | 2024-04-15 21:52:00 |
perl-html-selector-xpath-0.28-r0.apk | 6.0 KiB | 2024-02-24 12:50:42 |
perl-throwable-1.001-r1.apk | 6.0 KiB | 2023-07-04 00:01:46 |
create-tauri-app-doc-3.13.17-r0.apk | 6.0 KiB | 2024-03-28 20:30:46 |
py3-flake8-debugger-4.1.2-r4.apk | 6.0 KiB | 2024-04-15 21:51:33 |
schismtracker-doc-20231029-r0.apk | 6.0 KiB | 2023-11-19 13:18:25 |
py3-microdata-0.8.0-r0.apk | 6.0 KiB | 2024-04-28 19:35:50 |
py3-flask-dbconfig-pyc-0.3.12-r8.apk | 6.0 KiB | 2024-04-15 21:51:35 |
py3-dweepy-pyc-0.3.0-r7.apk | 6.0 KiB | 2024-04-15 21:51:33 |
herbe-1.0.0-r0.apk | 6.0 KiB | 2022-08-10 13:17:45 |
plfit-dev-0.9.4-r2.apk | 6.0 KiB | 2023-08-01 12:38:05 |
py3-vatnumber-1.2-r8.apk | 6.0 KiB | 2024-04-15 21:52:05 |
py3-spinners-pyc-0.0.24-r4.apk | 6.0 KiB | 2024-04-15 21:52:03 |
ocp-index-emacs-1.3.6-r0.apk | 6.0 KiB | 2024-03-24 02:12:56 |
kgraphviewer-dev-2.5.0-r0.apk | 6.0 KiB | 2024-05-09 03:06:11 |
asteroid-languages-0_git20230112-r0.apk | 6.0 KiB | 2023-05-11 09:00:10 |
libbloom-2.0-r0.apk | 6.1 KiB | 2023-06-05 21:59:19 |
perl-http-xsheaders-doc-0.400005-r0.apk | 6.1 KiB | 2024-02-24 12:50:42 |
openfortivpn-doc-1.21.0-r0.apk | 6.1 KiB | 2024-02-08 19:38:20 |
libantic-dev-0.2.5-r0.apk | 6.1 KiB | 2022-11-02 08:47:57 |
perl-crypt-saltedhash-doc-0.09-r5.apk | 6.1 KiB | 2023-07-04 00:01:45 |
perl-indirect-doc-0.39-r0.apk | 6.1 KiB | 2024-01-15 21:00:53 |
boxed-cpp-dev-1.4.0-r0.apk | 6.1 KiB | 2024-03-02 15:02:22 |
py3-python-archive-0.2-r6.apk | 6.1 KiB | 2024-04-15 21:52:00 |
py3-lsp-black-pyc-2.0.0-r1.apk | 6.1 KiB | 2024-04-15 21:51:54 |
pantalaimon-doc-0.10.5-r4.apk | 6.1 KiB | 2024-04-15 15:00:19 |
perl-lwp-useragent-cached-0.08-r1.apk | 6.1 KiB | 2023-07-04 00:01:46 |
py3-x-wr-timezone-pyc-0.0.7-r1.apk | 6.1 KiB | 2024-04-15 21:52:05 |
py3-ticket-auth-pyc-0.1.4-r8.apk | 6.1 KiB | 2024-04-15 21:52:04 |
bgpq4-doc-1.12-r0.apk | 6.1 KiB | 2024-02-13 22:39:13 |
iipsrv-doc-1.2-r0.apk | 6.1 KiB | 2023-10-05 05:25:00 |
mlxl-0.1-r0.apk | 6.1 KiB | 2023-03-18 10:50:34 |
codeberg-cli-zsh-completion-0.4.0-r0.apk | 6.2 KiB | 2024-05-02 23:12:32 |
libbamf-dev-0.5.6-r1.apk | 6.2 KiB | 2023-10-20 07:21:52 |
perl-net-async-xmpp-0.003-r0.apk | 6.2 KiB | 2024-01-16 19:22:12 |
libdng-utils-0.1.1-r0.apk | 6.2 KiB | 2024-01-21 22:37:11 |
php81-shmop-8.1.28-r0.apk | 6.2 KiB | 2024-04-10 23:39:36 |
rustic-bash-completion-0.6.1-r1.apk | 6.2 KiB | 2024-01-08 09:44:33 |
clinfo-doc-3.0.23.01.25-r0.apk | 6.2 KiB | 2023-02-10 10:39:01 |
pfqueue-doc-0.5.6-r1.apk | 6.2 KiB | 2022-10-14 16:16:44 |
perl-check-unitcheck-0.13-r0.apk | 6.2 KiB | 2024-01-17 12:12:35 |
py3-notifymail-1.1-r7.apk | 6.2 KiB | 2024-04-15 21:51:55 |
repgrep-doc-0.15.0-r0.apk | 6.2 KiB | 2024-01-04 19:32:03 |
remind-caldav-0.8.0-r3.apk | 6.2 KiB | 2024-04-15 21:52:07 |
lsix-1.8.2-r0.apk | 6.3 KiB | 2023-12-23 01:34:55 |
ovpncc-doc-0.1_rc1-r0.apk | 6.3 KiB | 2023-03-13 12:19:14 |
pipectl-0.4.1-r1.apk | 6.3 KiB | 2023-02-01 19:42:42 |
upterm-doc-0.13.5-r0.apk | 6.3 KiB | 2024-05-06 21:28:36 |
perl-devel-refcount-0.10-r0.apk | 6.3 KiB | 2024-01-17 12:17:26 |
py3-microdata-pyc-0.8.0-r0.apk | 6.3 KiB | 2024-04-28 19:35:50 |
imediff-doc-2.6-r1.apk | 6.3 KiB | 2024-04-15 21:51:02 |
lol-html-dev-1.1.1-r0.apk | 6.3 KiB | 2023-11-04 13:05:59 |
perl-tickit-widget-scrollbox-doc-0.12-r0.apk | 6.3 KiB | 2024-01-14 12:55:06 |
grommunio-common-1.0-r0.apk | 6.3 KiB | 2024-04-26 06:32:53 |
kubeone-bash-completion-1.7.4-r0.apk | 6.3 KiB | 2024-05-04 11:20:52 |
py3-sphinxcontrib-actdiag-3.0.0-r2.apk | 6.3 KiB | 2023-04-26 15:56:02 |
py3-rst.linker-2.4.0-r2.apk | 6.3 KiB | 2024-04-15 21:52:01 |
cutechess-cli-doc-1.3.1-r0.apk | 6.3 KiB | 2023-09-24 18:37:36 |
perl-minion-backend-redis-doc-0.003-r0.apk | 6.3 KiB | 2024-02-10 01:02:23 |
py3-pymsteams-pyc-0.2.2-r3.apk | 6.3 KiB | 2024-04-15 21:52:00 |
dcnnt-doc-0.10.0-r1.apk | 6.3 KiB | 2024-04-15 21:50:55 |
watchbind-doc-0.2.1-r0.apk | 6.3 KiB | 2024-01-22 11:35:07 |
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk | 6.3 KiB | 2024-04-15 21:52:01 |
icingaweb2-module-generictts-2.1.0-r0.apk | 6.3 KiB | 2023-01-12 15:57:57 |
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk | 6.4 KiB | 2024-04-15 21:52:03 |
emacs-persist-0.6_git20240114-r0.apk | 6.4 KiB | 2024-04-02 07:53:26 |
py3-tailer-pyc-0.4.1-r7.apk | 6.4 KiB | 2024-04-15 21:52:03 |
ocaml-mmap-dev-1.2.0-r3.apk | 6.4 KiB | 2024-03-24 02:12:20 |
py3-simplespectral-1.0.0-r4.apk | 6.4 KiB | 2024-04-15 21:52:02 |
tui-journal-doc-0.8.0-r0.apk | 6.4 KiB | 2024-02-10 02:51:41 |
apk-snap-3.1.1-r0.apk | 6.4 KiB | 2024-01-22 15:55:25 |
perl-text-table-any-doc-0.117-r0.apk | 6.4 KiB | 2024-02-25 17:21:34 |
perl-devel-confess-doc-0.009004-r0.apk | 6.4 KiB | 2024-02-24 12:50:42 |
debconf-utils-1.5.82-r0.apk | 6.4 KiB | 2023-03-16 14:39:13 |
extremetuxracer-doc-0.8.3-r0.apk | 6.4 KiB | 2023-07-25 13:04:34 |
perl-storable-improved-0.1.3-r0.apk | 6.4 KiB | 2024-02-24 12:50:42 |
rattler-build-doc-0.16.0-r0.apk | 6.5 KiB | 2024-05-06 21:28:36 |
py3-flake8-print-5.0.0-r5.apk | 6.5 KiB | 2024-04-15 21:51:33 |
py3-sphinxcontrib-gravatar-0.1.2-r7.apk | 6.5 KiB | 2024-04-15 21:52:03 |
perl-test-files-0.26-r0.apk | 6.5 KiB | 2024-03-09 06:50:30 |
zarchive-dev-0.1.2-r2.apk | 6.5 KiB | 2023-08-07 20:54:23 |
gmsh-py-4.12.2-r1.apk | 6.5 KiB | 2024-04-21 23:52:37 |
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk | 6.5 KiB | 2024-04-15 21:51:59 |
perl-minion-backend-sqlite-doc-5.0.7-r0.apk | 6.5 KiB | 2024-02-10 01:02:23 |
perl-test-file-doc-1.993-r1.apk | 6.5 KiB | 2023-07-04 00:01:46 |
kompose-zsh-completion-1.31.2-r2.apk | 6.5 KiB | 2024-04-07 00:48:58 |
pwauth-doc-2.3.11-r2.apk | 6.5 KiB | 2022-11-02 08:49:03 |
lutgen-bash-completion-0.10.0-r0.apk | 6.5 KiB | 2024-04-01 19:32:32 |
game-devices-udev-0.22-r2.apk | 6.6 KiB | 2023-10-31 06:57:40 |
php81-pecl-uuid-1.2.0-r0.apk | 6.6 KiB | 2024-04-10 23:39:35 |
perl-archive-extract-doc-0.88-r1.apk | 6.6 KiB | 2023-07-04 00:01:45 |
qoi-dev-0.0.0_git20230312-r0.apk | 6.6 KiB | 2023-03-17 06:15:21 |
termcolor-dev-2.1.0-r0.apk | 6.6 KiB | 2022-11-02 08:49:21 |
deadbeef-soxr-20180801-r0.apk | 6.6 KiB | 2020-11-25 06:29:48 |
amiitool-2-r2.apk | 6.6 KiB | 2024-04-13 17:05:19 |
perl-hash-merge-0.302-r2.apk | 6.6 KiB | 2023-07-04 00:01:46 |
py3-playsound-1.3.0-r1.apk | 6.6 KiB | 2024-04-15 21:52:00 |
py3-tailer-0.4.1-r7.apk | 6.6 KiB | 2024-04-15 21:52:03 |
perl-x-tiny-0.22-r0.apk | 6.6 KiB | 2024-04-16 17:38:49 |
perl-cgi-expand-2.05-r4.apk | 6.6 KiB | 2023-07-04 00:01:45 |
boxes-doc-2.2.1-r0.apk | 6.6 KiB | 2023-09-06 13:12:13 |
pixi-doc-0.21.1-r0.apk | 6.6 KiB | 2024-05-09 03:06:12 |
openslide-dev-3.4.1-r3.apk | 6.6 KiB | 2023-08-01 12:38:05 |
qtmir-dev-0.7.2-r0.apk | 6.6 KiB | 2024-01-28 17:47:30 |
perl-storable-improved-doc-0.1.3-r0.apk | 6.7 KiB | 2024-02-24 12:50:42 |
perl-tickit-widget-menu-doc-0.16-r0.apk | 6.7 KiB | 2024-01-14 12:55:06 |
dublin-traceroute-dev-0.4.2-r3.apk | 6.7 KiB | 2023-09-16 21:20:51 |
lua5.2-psl-0.3-r0.apk | 6.7 KiB | 2020-02-05 10:50:54 |
bootinfo-0.1.0-r3.apk | 6.7 KiB | 2024-04-15 21:50:53 |
ovos-phal-pyc-0.0.5_alpha6-r1.apk | 6.7 KiB | 2024-04-15 21:51:24 |
isoinfo-0_git20131217-r1.apk | 6.7 KiB | 2022-11-02 08:47:24 |
py3-simplesoapy-1.5.1-r6.apk | 6.7 KiB | 2024-04-15 21:52:02 |
fcitx5-hangul-lang-5.1.3-r0.apk | 6.7 KiB | 2024-05-10 03:39:43 |
perl-crypt-saltedhash-0.09-r5.apk | 6.7 KiB | 2023-07-04 00:01:45 |
perl-constant-defer-doc-6-r5.apk | 6.7 KiB | 2023-07-04 00:01:45 |
lua5.3-psl-0.3-r0.apk | 6.7 KiB | 2020-02-05 10:50:54 |
py3-pytap2-2.3.0-r0.apk | 6.7 KiB | 2024-05-09 03:06:13 |
nkk-doc-0_git20221010-r0.apk | 6.7 KiB | 2023-02-23 19:40:03 |
perl-cairo-gobject-1.005-r3.apk | 6.7 KiB | 2023-07-04 00:01:45 |
py3-pip-system-certs-4.0-r1.apk | 6.7 KiB | 2024-04-15 21:52:00 |
py3-flask-themer-pyc-2.0.0-r1.apk | 6.7 KiB | 2024-04-15 21:51:35 |
py3-pytest-expect-pyc-1.1.0-r9.apk | 6.7 KiB | 2024-04-17 02:55:21 |
php81-pecl-uploadprogress-2.0.2-r1.apk | 6.7 KiB | 2024-04-10 23:39:35 |
oil-doc-0.21.0-r0.apk | 6.7 KiB | 2024-03-15 23:09:46 |
py3-flake8-builtins-pyc-2.1.0-r3.apk | 6.7 KiB | 2024-04-15 21:51:33 |
amdgpu-fan-0.1.0-r4.apk | 6.7 KiB | 2024-04-13 17:05:19 |
zsh-fast-syntax-highlighting-doc-1.55_git20230705-r0.apk | 6.8 KiB | 2023-12-17 12:11:01 |
libmysofa-dev-1.3.2-r0.apk | 6.8 KiB | 2023-11-03 23:43:00 |
tmpmail-1.2.3-r2.apk | 6.8 KiB | 2023-11-01 10:13:18 |
xtitle-0.4.4-r1.apk | 6.8 KiB | 2022-11-02 08:49:40 |
rankwidth-0.9-r3.apk | 6.8 KiB | 2023-08-01 12:38:05 |
lua5.1-psl-0.3-r0.apk | 6.8 KiB | 2020-02-05 10:50:54 |
py3-flask-themer-2.0.0-r1.apk | 6.8 KiB | 2024-04-15 21:51:35 |
php81-sysvshm-8.1.28-r0.apk | 6.8 KiB | 2024-04-10 23:39:36 |
json2tsv-1.1-r0.apk | 6.8 KiB | 2023-07-22 13:54:34 |
perl-io-async-resolver-dns-0.06-r0.apk | 6.8 KiB | 2023-12-30 11:58:52 |
perl-time-timegm-0.01-r8.apk | 6.8 KiB | 2023-07-04 00:01:46 |
perl-constant-generate-doc-0.17-r5.apk | 6.8 KiB | 2023-07-04 00:01:45 |
serialdv-1.1.4-r0.apk | 6.8 KiB | 2023-02-22 11:32:57 |
perl-uri-fetch-0.15-r0.apk | 6.8 KiB | 2024-01-14 15:56:32 |
py3-ntplib-0.4.0-r4.apk | 6.8 KiB | 2024-04-15 21:51:55 |
apk-autoupdate-doc-0_git20210421-r0.apk | 6.8 KiB | 2022-01-15 23:12:15 |
hiprompt-gtk-py-0_git20230225-r0.apk | 6.8 KiB | 2023-02-25 16:56:18 |
py3-pbkdf2-pyc-1.3-r6.apk | 6.8 KiB | 2024-04-15 21:51:59 |
dnsfunnel-doc-0.0.1.6-r0.apk | 6.8 KiB | 2023-11-06 21:51:16 |
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk | 6.9 KiB | 2020-12-08 21:10:45 |
perl-object-event-doc-1.23-r0.apk | 6.9 KiB | 2024-01-03 18:46:33 |
moon-buggy-doc-1.0.51-r1.apk | 6.9 KiB | 2022-11-02 08:48:37 |
perl-test-expander-2.5.0-r0.apk | 6.9 KiB | 2024-03-09 06:50:30 |
py3-flask-bcrypt-1.0.1-r5.apk | 6.9 KiB | 2024-04-15 21:51:34 |
pmccabe-doc-2.8-r1.apk | 6.9 KiB | 2022-11-02 08:48:53 |
perl-graphql-client-0.605-r0.apk | 6.9 KiB | 2024-01-18 23:48:19 |
visidata-zsh-completion-2.11.1-r2.apk | 6.9 KiB | 2024-04-15 21:52:08 |
dsp-doc-1.9-r1.apk | 6.9 KiB | 2023-02-28 00:05:22 |
oh-my-zsh-doc-0_git20220104-r1.apk | 6.9 KiB | 2023-02-10 00:05:05 |
perl-tickit-widget-menu-0.16-r0.apk | 6.9 KiB | 2024-01-14 12:55:06 |
ecm-doc-7.0.5-r1.apk | 6.9 KiB | 2023-05-15 20:51:34 |
py3-shodan-doc-1.31.0-r1.apk | 6.9 KiB | 2024-04-15 21:52:02 |
lutgen-fish-completion-0.10.0-r0.apk | 6.9 KiB | 2024-04-01 19:32:32 |
pptpclient-doc-1.10.0-r4.apk | 6.9 KiB | 2023-07-04 00:01:46 |
mint-x-icons-doc-1.6.5-r1.apk | 6.9 KiB | 2023-10-31 06:57:42 |
py3-banal-pyc-1.0.6-r3.apk | 7.0 KiB | 2024-04-15 21:51:29 |
litterbox-doc-1.9-r1.apk | 7.0 KiB | 2023-04-08 08:51:23 |
perl-log-fu-doc-0.31-r4.apk | 7.0 KiB | 2023-07-04 00:01:46 |
advancescan-doc-1.18-r1.apk | 7.0 KiB | 2022-11-02 08:46:28 |
perl-color-ansi-util-0.165-r0.apk | 7.0 KiB | 2024-04-16 11:29:09 |
py3-async-lru-2.0.4-r1.apk | 7.0 KiB | 2024-04-15 21:51:28 |
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk | 7.0 KiB | 2023-07-04 00:01:46 |
keystone-dev-0.9.2-r6.apk | 7.0 KiB | 2024-04-15 21:51:04 |
cpiped-0.1.0-r0.apk | 7.1 KiB | 2017-08-29 10:34:39 |
py3-colorthief-0.2.1-r1.apk | 7.1 KiB | 2024-04-15 21:51:30 |
alarmwakeup-0.2.1-r0.apk | 7.1 KiB | 2023-10-06 06:01:43 |
jbigkit-doc-2.1-r2.apk | 7.1 KiB | 2022-11-02 08:47:25 |
py3-sphinxcontrib-sqltable-2.0.0-r8.apk | 7.1 KiB | 2024-04-15 21:52:03 |
cyrus-sasl-xoauth2-0.2-r1.apk | 7.1 KiB | 2023-05-15 20:51:32 |
adjtimex-doc-1.29-r0.apk | 7.1 KiB | 2021-12-31 21:27:08 |
perl-openapi-client-doc-1.07-r0.apk | 7.1 KiB | 2024-01-14 12:53:34 |
lizardfs-cgiserv-3.13.0-r13.apk | 7.1 KiB | 2024-04-22 18:58:30 |
n30f-2.0-r3.apk | 7.1 KiB | 2022-11-02 08:48:38 |
py3-proglog-0.1.10-r2.apk | 7.1 KiB | 2024-04-15 21:52:00 |
perl-color-rgb-util-doc-0.607-r0.apk | 7.1 KiB | 2024-04-16 11:29:09 |
py3-rst.linker-pyc-2.4.0-r2.apk | 7.1 KiB | 2024-04-15 21:52:01 |
perl-constant-defer-6-r5.apk | 7.1 KiB | 2023-07-04 00:01:45 |
perl-session-storage-secure-doc-1.000-r2.apk | 7.1 KiB | 2023-07-04 00:01:46 |
wlopm-0.1.0-r0.apk | 7.2 KiB | 2022-06-28 15:08:59 |
libfyaml-doc-0.9-r0.apk | 7.2 KiB | 2023-12-21 22:37:05 |
cliquer-dev-1.22-r2.apk | 7.2 KiB | 2023-08-01 12:37:56 |
git2json-0.2.3-r8.apk | 7.2 KiB | 2024-04-15 14:41:19 |
py3-more-properties-1.1.1-r3.apk | 7.2 KiB | 2024-04-15 21:51:55 |
perl-devel-leak-0.03-r12.apk | 7.2 KiB | 2023-07-04 00:01:46 |
py3-python-logstash-0.4.8-r3.apk | 7.2 KiB | 2024-04-15 21:52:00 |
cyrus-sasl-xoauth2-static-0.2-r1.apk | 7.2 KiB | 2023-05-15 20:51:32 |
py3-junit-xml-1.9-r2.apk | 7.2 KiB | 2024-04-15 21:51:36 |
pass2csv-pyc-1.1.1-r1.apk | 7.2 KiB | 2024-04-15 21:51:24 |
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk | 7.2 KiB | 2020-12-08 21:10:45 |
perl-class-accessor-grouped-doc-0.10014-r2.apk | 7.2 KiB | 2023-07-04 00:01:45 |
py3-sstash-0.17-r8.apk | 7.2 KiB | 2024-04-15 21:52:03 |
py3-pickle-secure-0.99.9-r1.apk | 7.2 KiB | 2024-04-14 17:05:06 |
py3-lsp-black-2.0.0-r1.apk | 7.2 KiB | 2024-04-15 21:51:54 |
py3-dotty-dict-1.3.1-r3.apk | 7.2 KiB | 2024-04-15 21:51:32 |
perl-ryu-async-0.020-r0.apk | 7.2 KiB | 2024-01-15 21:00:53 |
ffms2-dev-2.40-r0.apk | 7.3 KiB | 2023-12-30 13:46:21 |
aqemu-doc-0.9.4-r3.apk | 7.3 KiB | 2023-04-08 10:53:40 |
perl-uri-fetch-doc-0.15-r0.apk | 7.3 KiB | 2024-01-14 15:56:32 |
perl-string-crc32-2.100-r3.apk | 7.3 KiB | 2023-07-04 00:01:46 |
fox-utils-1.6.57-r0.apk | 7.3 KiB | 2022-08-08 10:58:10 |
xload-1.1.4-r0.apk | 7.3 KiB | 2022-05-12 08:40:53 |
perl-dbix-datasource-doc-0.02-r5.apk | 7.3 KiB | 2023-07-04 00:01:46 |
perl-file-rename-2.02-r0.apk | 7.3 KiB | 2024-01-01 12:23:01 |
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk | 7.3 KiB | 2024-04-15 21:52:03 |
py3-columnize-pyc-0.3.11-r3.apk | 7.3 KiB | 2024-04-15 21:51:30 |
py3-eradicate-2.3.0-r1.apk | 7.3 KiB | 2024-04-15 21:51:33 |
xcape-1.2-r0.apk | 7.3 KiB | 2021-11-29 21:04:22 |
links-graphics-doc-2.29-r1.apk | 7.3 KiB | 2024-04-18 00:02:21 |
py3-wg-netns-2.3.1-r1.apk | 7.3 KiB | 2024-04-15 21:52:05 |
py3-columnize-0.3.11-r3.apk | 7.3 KiB | 2024-04-15 21:51:30 |
libibumad-dev-1.3.10.2-r3.apk | 7.3 KiB | 2023-05-15 20:51:50 |
py3-litex-hub-pythondata-cpu-naxriscv-2023.12-r4.apk | 7.3 KiB | 2024-04-15 21:51:49 |
msgpuck-doc-2.0-r1.apk | 7.3 KiB | 2020-02-22 16:50:58 |
perl-net-jabber-bot-doc-2.1.7-r0.apk | 7.3 KiB | 2024-01-12 13:03:55 |
perl-x-tiny-doc-0.22-r0.apk | 7.3 KiB | 2024-04-16 17:38:49 |
perl-datetime-timezone-alias-doc-0.06-r0.apk | 7.4 KiB | 2024-02-24 12:50:42 |
py3-ovos-phal-plugin-oauth-0.0.2-r1.apk | 7.4 KiB | 2024-04-15 21:51:59 |
tree-sitter-git-rebase-0_git20220110-r2.apk | 7.4 KiB | 2023-11-15 21:54:00 |
opkg-doc-0.6.2-r0.apk | 7.4 KiB | 2023-11-27 21:03:55 |
py3-sphinxcontrib-gravatar-pyc-0.1.2-r7.apk | 7.4 KiB | 2024-04-15 21:52:03 |
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk | 7.4 KiB | 2024-04-15 21:52:03 |
py3-createrepo_c-pyc-1.0.2-r1.apk | 7.4 KiB | 2024-04-15 21:51:30 |
py3-openapi-codec-1.3.2-r9.apk | 7.4 KiB | 2024-04-15 21:51:58 |
perl-email-abstract-3.010-r0.apk | 7.4 KiB | 2023-09-03 11:03:37 |
geomyidae-doc-0.34-r2.apk | 7.4 KiB | 2022-11-02 08:47:12 |
bananui-clock-0.1.0-r0.apk | 7.4 KiB | 2023-10-06 06:01:43 |
py3-padacioso-pyc-0.2.0-r1.apk | 7.4 KiB | 2024-04-15 21:51:59 |
py3-clickclick-20.10.2-r2.apk | 7.4 KiB | 2023-04-22 11:46:10 |
arc-xfwm-20221218-r0.apk | 7.4 KiB | 2023-01-07 13:34:32 |
postgresql-pg_partman-scripts-5.0.0-r0.apk | 7.4 KiB | 2023-12-17 21:56:38 |
runst-doc-0.1.7-r0.apk | 7.5 KiB | 2024-03-28 20:31:06 |
arc-lighter-xfwm-20221218-r0.apk | 7.5 KiB | 2023-01-07 13:34:32 |
ydcv-0.7-r7.apk | 7.5 KiB | 2024-04-15 21:52:08 |
py3-pymsteams-0.2.2-r3.apk | 7.5 KiB | 2024-04-15 21:52:00 |
yarr-doc-2.4-r5.apk | 7.5 KiB | 2024-04-07 00:52:32 |
py3-pcbnewtransition-0.4.1-r0.apk | 7.5 KiB | 2024-04-16 16:22:57 |
cscope-doc-15.9-r1.apk | 7.5 KiB | 2022-10-14 16:16:26 |
perl-test-distribution-2.00-r1.apk | 7.5 KiB | 2023-07-04 00:01:46 |
perl-net-libresolv-0.03-r0.apk | 7.5 KiB | 2023-12-30 11:58:52 |
mat2-doc-0.13.4-r1.apk | 7.5 KiB | 2023-10-19 16:18:21 |
perl-syntax-keyword-match-doc-0.14-r0.apk | 7.5 KiB | 2024-05-01 12:53:21 |
ocaml-mirage-random-3.0.0-r3.apk | 7.5 KiB | 2024-03-24 02:12:20 |
gmic-dev-3.3.5-r0.apk | 7.5 KiB | 2024-04-26 13:48:31 |
py3-pymsgbox-1.0.9-r4.apk | 7.5 KiB | 2024-04-15 21:52:00 |
py3-bottle-session-pyc-1.0-r6.apk | 7.5 KiB | 2024-04-15 21:51:29 |
cliquer-1.22-r2.apk | 7.5 KiB | 2023-08-01 12:37:56 |
b4-doc-0.13.0-r0.apk | 7.6 KiB | 2024-02-17 21:16:38 |
moosefs-cgiserv-3.0.117-r1.apk | 7.6 KiB | 2023-06-17 21:33:41 |
buf-bash-completion-1.31.0-r0.apk | 7.6 KiB | 2024-05-04 11:02:43 |
py3-quebra-frases-pyc-0.3.7-r1.apk | 7.6 KiB | 2024-04-15 21:52:01 |
perl-graphql-client-cli-0.605-r0.apk | 7.6 KiB | 2024-01-18 23:48:19 |
cargo-update-doc-13.4.0-r0.apk | 7.6 KiB | 2024-05-05 05:24:51 |
perl-string-compare-constanttime-0.321-r5.apk | 7.6 KiB | 2023-07-04 00:01:46 |
dvdbackup-doc-0.4.2-r1.apk | 7.6 KiB | 2022-10-14 16:16:26 |
stgit-zsh-completion-1.3-r5.apk | 7.6 KiB | 2024-04-15 21:52:07 |
py3-dict2xml-pyc-1.7.5-r2.apk | 7.6 KiB | 2024-04-15 21:51:31 |
php81-pecl-lzf-1.7.0-r0.apk | 7.6 KiB | 2024-04-10 23:39:35 |
arc-darker-xfwm-20221218-r0.apk | 7.6 KiB | 2023-01-07 13:34:32 |
hexer-dev-1.4.0-r14.apk | 7.6 KiB | 2023-11-14 18:40:17 |
arc-dark-xfwm-20221218-r0.apk | 7.6 KiB | 2023-01-07 13:34:32 |
ocaml-mmap-1.2.0-r3.apk | 7.6 KiB | 2024-03-24 02:12:20 |
py3-click-threading-pyc-0.5.0-r4.apk | 7.6 KiB | 2024-04-15 21:51:30 |
perl-bsd-resource-doc-1.2911-r9.apk | 7.6 KiB | 2023-12-17 22:52:19 |
libnfc-dev-1.8.0-r1.apk | 7.6 KiB | 2023-05-15 20:51:50 |
rsstail-2.1-r1.apk | 7.6 KiB | 2022-11-02 08:49:08 |
py3-pytest-metadata-pyc-3.1.1-r0.apk | 7.6 KiB | 2024-04-15 23:47:18 |
jhead-doc-3.08-r0.apk | 7.6 KiB | 2023-07-23 13:58:29 |
php81-sysvmsg-8.1.28-r0.apk | 7.6 KiB | 2024-04-10 23:39:36 |
ustream-ssl-20220116-r1.apk | 7.6 KiB | 2022-08-04 14:28:31 |
perl-string-random-0.32-r2.apk | 7.7 KiB | 2024-01-08 09:44:32 |
dex-0.9.0-r1.apk | 7.7 KiB | 2023-10-19 16:18:21 |
py3-sphinxcontrib-lassodomain-0.4-r8.apk | 7.7 KiB | 2024-04-15 21:52:03 |
py3-flask-loopback-pyc-1.4.7-r7.apk | 7.7 KiB | 2024-04-15 21:51:35 |
fulcrum-admin-1.9.8-r0.apk | 7.7 KiB | 2024-02-12 22:45:34 |
stgit-fish-completion-1.3-r5.apk | 7.7 KiB | 2024-04-15 21:52:07 |
py3-translationstring-1.4-r3.apk | 7.7 KiB | 2024-04-15 21:52:04 |
jdupes-doc-1.27.3-r0.apk | 7.7 KiB | 2023-08-27 20:24:26 |
mm-1.4.2-r1.apk | 7.7 KiB | 2017-03-28 19:32:58 |
limnoria-doc-20220927-r3.apk | 7.7 KiB | 2024-04-15 21:51:18 |
py3-flask-httpauth-4.8.0-r2.apk | 7.7 KiB | 2024-04-15 21:51:35 |
perl-netaddr-mac-doc-0.98-r1.apk | 7.7 KiB | 2023-07-04 00:01:46 |
boinc-doc-7.24.3-r0.apk | 7.7 KiB | 2024-02-22 01:03:47 |
py3-simplematch-1.4-r1.apk | 7.7 KiB | 2024-04-15 21:52:02 |
perl-tickit-widget-scrollbox-0.12-r0.apk | 7.7 KiB | 2024-01-14 12:55:06 |
libdatrie-0.2.13-r0.apk | 7.7 KiB | 2022-01-27 00:31:20 |
perl-throwable-doc-1.001-r1.apk | 7.7 KiB | 2023-07-04 00:01:46 |
py3-zope-i18nmessageid-pyc-6.1.0-r1.apk | 7.8 KiB | 2024-04-15 21:52:05 |
py3-pygelbooru-0.5.0-r2.apk | 7.8 KiB | 2023-04-26 15:55:58 |
vmtouch-doc-1.3.1-r0.apk | 7.8 KiB | 2023-03-28 12:18:41 |
ocaml-omake-doc-0.10.6-r0.apk | 7.8 KiB | 2024-03-24 02:12:23 |
perl-dbix-introspector-0.001005-r4.apk | 7.8 KiB | 2023-07-04 00:01:46 |
perl-text-table-any-0.117-r0.apk | 7.8 KiB | 2024-02-25 17:21:34 |
perl-list-binarysearch-xs-doc-0.09-r0.apk | 7.8 KiB | 2024-01-15 21:00:53 |
lomiri-libusermetrics-dev-1.3.2-r0.apk | 7.8 KiB | 2024-02-06 23:41:36 |
py3-ly-doc-0.9.8-r1.apk | 7.8 KiB | 2024-04-15 21:51:54 |
lutgen-zsh-completion-0.10.0-r0.apk | 7.8 KiB | 2024-04-01 19:32:32 |
libcorkipset-dev-1.1.1-r4.apk | 7.8 KiB | 2023-10-30 10:34:43 |
py3-simplespectral-pyc-1.0.0-r4.apk | 7.8 KiB | 2024-04-15 21:52:02 |
ttfautohint-doc-1.8.4-r0.apk | 7.8 KiB | 2024-05-06 10:51:57 |
libjodycode-3.1-r1.apk | 7.8 KiB | 2023-07-29 20:02:51 |
py3-ovos-ocp-m3u-plugin-0.0.2_alpha1-r1.apk | 7.9 KiB | 2024-04-15 21:51:59 |
exabgp-doc-4.2.21-r4.apk | 7.9 KiB | 2024-04-15 21:50:55 |
perl-adapter-async-0.019-r0.apk | 7.9 KiB | 2024-01-17 12:12:35 |
nvim-cmp-buffer-0.0.0_git20220810-r0.apk | 7.9 KiB | 2022-10-13 20:59:39 |
py3-pytest-mypy-0.10.3-r2.apk | 7.9 KiB | 2024-04-15 21:52:00 |
py3-ovos-phal-plugin-oauth-pyc-0.0.2-r1.apk | 7.9 KiB | 2024-04-15 21:51:59 |
hurl-doc-4.3.0-r0.apk | 7.9 KiB | 2024-05-05 05:24:56 |
rtl-power-fftw-doc-20200601-r4.apk | 7.9 KiB | 2024-04-24 20:50:28 |
py3-janus-1.0.0-r3.apk | 7.9 KiB | 2024-04-15 15:00:19 |
enlighten-0.9.2-r1.apk | 7.9 KiB | 2022-11-02 08:47:04 |
lgogdownloader-doc-3.12-r2.apk | 7.9 KiB | 2024-04-22 18:58:15 |
libzn_poly-dev-0.9.2-r2.apk | 7.9 KiB | 2023-08-01 12:38:04 |
wol-lang-0.7.1-r2.apk | 7.9 KiB | 2023-08-17 17:12:52 |
acme-tiny-5.0.1-r2.apk | 7.9 KiB | 2024-04-15 21:50:52 |
libcotp-2.0.2-r0.apk | 7.9 KiB | 2023-10-28 09:40:56 |
py3-flask-paginate-0.8.1-r6.apk | 8.0 KiB | 2024-04-15 21:51:35 |
cri-o-fish-completion-1.29.1-r2.apk | 8.0 KiB | 2024-04-07 00:46:18 |
stw-0.3-r0.apk | 8.0 KiB | 2023-03-06 14:44:23 |
py3-more-properties-pyc-1.1.1-r3.apk | 8.0 KiB | 2024-04-15 21:51:55 |
libgrapheme-doc-1-r0.apk | 8.0 KiB | 2022-01-28 23:10:29 |
py3-openssh-wrapper-0.5_git20130425-r4.apk | 8.0 KiB | 2024-04-15 21:51:58 |
sigrok-cli-doc-0.7.2-r0.apk | 8.0 KiB | 2022-09-19 10:28:40 |
perl-daemon-control-doc-0.001010-r2.apk | 8.0 KiB | 2023-07-04 00:01:45 |
py3-lib_users-0.15-r3.apk | 8.0 KiB | 2024-04-15 21:51:38 |
py3-ovos-media-plugin-simple-pyc-0.0.1-r1.apk | 8.0 KiB | 2024-04-15 21:51:59 |
php81-pspell-8.1.28-r0.apk | 8.0 KiB | 2024-04-10 23:39:36 |
bootinfo-pyc-0.1.0-r3.apk | 8.0 KiB | 2024-04-15 21:50:53 |
queercat-1.0.0-r0.apk | 8.0 KiB | 2023-08-16 10:28:29 |
ocaml-result-dev-1.5-r2.apk | 8.0 KiB | 2024-03-24 02:12:48 |
disfetch-3.7-r0.apk | 8.0 KiB | 2023-06-08 21:49:05 |
ocaml-mirage-time-dev-3.0.0-r4.apk | 8.1 KiB | 2024-03-24 02:12:20 |
flowd-dev-0.9.1-r9.apk | 8.1 KiB | 2023-07-04 00:01:45 |
py3-publicsuffix2-pyc-2.20191221-r5.apk | 8.1 KiB | 2024-04-15 14:28:21 |
py3-ovos-ocp-rss-plugin-0.0.2-r1.apk | 8.1 KiB | 2024-04-15 21:51:59 |
perl-test-lwp-useragent-doc-0.036-r0.apk | 8.1 KiB | 2024-01-14 15:56:32 |
harminv-1.4.2-r1.apk | 8.1 KiB | 2023-10-08 17:25:58 |
pass2csv-1.1.1-r1.apk | 8.1 KiB | 2024-04-15 21:51:24 |
perl-dbix-class-candy-0.005003-r5.apk | 8.1 KiB | 2023-07-04 00:01:45 |
py3-eradicate-pyc-2.3.0-r1.apk | 8.1 KiB | 2024-04-15 21:51:33 |
dinit-alpine-0_git20240128-r0.apk | 8.1 KiB | 2024-01-28 12:44:18 |
perl-test-timer-doc-2.12-r2.apk | 8.1 KiB | 2024-01-04 01:13:43 |
pixi-fish-completion-0.21.1-r0.apk | 8.1 KiB | 2024-05-09 03:06:12 |
gutenprint-doc-5.3.4-r3.apk | 8.1 KiB | 2023-05-21 17:06:52 |
py3-landlock-1.0.0_pre4-r2.apk | 8.1 KiB | 2024-04-15 21:51:36 |
py3-nose-timer-1.0.1-r5.apk | 8.1 KiB | 2024-04-15 21:51:55 |
py3-sphinx-theme-quark-pyc-0.6.0-r2.apk | 8.2 KiB | 2023-04-26 15:56:02 |
libmhash-doc-0.9.9.9-r3.apk | 8.2 KiB | 2022-10-14 16:16:43 |
perl-data-dumper-concise-doc-2.023-r4.apk | 8.2 KiB | 2023-07-04 00:01:45 |
py3-intervals-0.9.2-r4.apk | 8.2 KiB | 2024-04-15 21:51:36 |
perl-uri-db-doc-0.22-r0.apk | 8.2 KiB | 2024-04-05 12:53:31 |
py3-python-logstash-pyc-0.4.8-r3.apk | 8.2 KiB | 2024-04-15 21:52:00 |
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk | 8.2 KiB | 2023-07-04 00:01:45 |
perl-dbix-introspector-doc-0.001005-r4.apk | 8.2 KiB | 2023-07-04 00:01:46 |
perl-math-random-isaac-xs-1.004-r7.apk | 8.2 KiB | 2023-07-04 00:01:46 |
py3-cucumber-tag-expressions-6.0.0-r1.apk | 8.2 KiB | 2024-04-15 21:51:30 |
foma-dev-0.10.0_git20221230-r0.apk | 8.2 KiB | 2023-06-13 17:29:05 |
perl-term-ui-doc-0.50-r1.apk | 8.2 KiB | 2023-07-04 00:01:46 |
php81-pecl-maxminddb-1.11.1-r0.apk | 8.2 KiB | 2024-04-10 23:39:35 |
wput-doc-0.6.2-r4.apk | 8.2 KiB | 2022-10-14 16:16:49 |
cdba-1.0-r0.apk | 8.3 KiB | 2023-11-12 13:17:48 |
agrep-0.8.0-r2.apk | 8.3 KiB | 2023-05-15 20:51:30 |
py3-playsound-pyc-1.3.0-r1.apk | 8.3 KiB | 2024-04-15 21:52:00 |
perl-net-netmask-doc-2.0002-r2.apk | 8.3 KiB | 2024-01-08 09:44:32 |
perl-sentinel-0.07-r0.apk | 8.3 KiB | 2024-01-18 23:48:19 |
pounce-doc-3.1-r3.apk | 8.3 KiB | 2024-01-03 14:08:31 |
wmutils-doc-1.7-r1.apk | 8.3 KiB | 2023-10-15 13:20:31 |
py3-pyisbn-1.3.1-r2.apk | 8.3 KiB | 2024-04-15 21:52:00 |
py3-pep8-naming-0.13.3-r2.apk | 8.3 KiB | 2024-04-15 21:51:59 |
py3-async-lru-pyc-2.0.4-r1.apk | 8.4 KiB | 2024-04-15 21:51:28 |
createrepo_c-doc-1.0.2-r1.apk | 8.4 KiB | 2024-04-15 21:50:55 |
zot-doc-2.0.4-r1.apk | 8.4 KiB | 2024-05-09 22:17:33 |
meson-tools-doc-0.1-r1.apk | 8.4 KiB | 2022-08-04 06:44:13 |
py3-vatnumber-pyc-1.2-r8.apk | 8.4 KiB | 2024-04-15 21:52:05 |
py3-ntplib-pyc-0.4.0-r4.apk | 8.4 KiB | 2024-04-15 21:51:55 |
perl-openapi-client-1.07-r0.apk | 8.4 KiB | 2024-01-14 12:53:34 |
tmux-resurrect-doc-4.0.0-r0.apk | 8.4 KiB | 2022-09-21 08:37:18 |
btpd-doc-0.16-r2.apk | 8.4 KiB | 2022-08-04 06:40:13 |
cvs-fast-export-tools-1.65-r0.apk | 8.4 KiB | 2024-02-16 19:34:14 |
libcyaml-doc-1.4.1-r2.apk | 8.4 KiB | 2024-01-11 21:56:38 |
libirecovery-progs-1.1.0-r0.apk | 8.4 KiB | 2023-05-27 09:50:49 |
daktilo-doc-0.6.0-r0.apk | 8.4 KiB | 2024-04-11 10:47:24 |
perl-sort-naturally-1.03-r4.apk | 8.4 KiB | 2023-07-04 00:01:46 |
py3-ovos-microphone-plugin-alsa-0.0.0-r1.apk | 8.4 KiB | 2024-04-15 21:51:59 |
subdl-0_git20230616-r1.apk | 8.4 KiB | 2024-04-15 21:52:07 |
tty-clock-2.3_git20240104-r0.apk | 8.4 KiB | 2024-01-19 03:13:30 |
perl-promise-xs-doc-0.20-r0.apk | 8.4 KiB | 2024-01-19 00:19:06 |
py3-phpserialize-1.3-r7.apk | 8.4 KiB | 2024-04-15 21:51:59 |
py3-dotty-dict-pyc-1.3.1-r3.apk | 8.4 KiB | 2024-04-15 21:51:32 |
py3-class-doc-pyc-1.25-r1.apk | 8.5 KiB | 2024-04-15 21:51:30 |
quodlibet-doc-4.6.0-r1.apk | 8.5 KiB | 2024-04-15 21:52:06 |
py3-uptime-pyc-3.0.1-r8.apk | 8.5 KiB | 2024-04-15 21:52:05 |
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk | 8.5 KiB | 2024-04-15 21:52:03 |
py3-certauth-1.3.0-r0.apk | 8.5 KiB | 2023-10-21 08:18:37 |
perl-constant-generate-0.17-r5.apk | 8.5 KiB | 2023-07-04 00:01:45 |
h4h5tools-dev-2.2.5-r3.apk | 8.5 KiB | 2024-05-05 05:24:56 |
apache2-mod-authnz-external-3.3.3-r0.apk | 8.5 KiB | 2020-02-26 22:29:26 |
libxmp-dev-4.6.0-r0.apk | 8.5 KiB | 2023-06-20 18:31:31 |
php81-enchant-8.1.28-r0.apk | 8.5 KiB | 2024-04-10 23:39:35 |
py3-translationstring-pyc-1.4-r3.apk | 8.5 KiB | 2024-04-15 21:52:04 |
pinentry-bemenu-0.13.1-r0.apk | 8.5 KiB | 2024-03-22 14:55:12 |
vcsh-2.0.5-r0.apk | 8.5 KiB | 2023-06-08 21:49:05 |
fcitx5-rime-lang-5.1.6-r0.apk | 8.5 KiB | 2024-05-10 03:39:43 |
pixi-zsh-completion-0.21.1-r0.apk | 8.5 KiB | 2024-05-09 03:06:12 |
ocaml-lambda-term-doc-3.2.0-r4.apk | 8.5 KiB | 2024-03-24 02:12:17 |
perl-crypt-random-seed-doc-0.03-r0.apk | 8.5 KiB | 2024-01-15 21:00:53 |
py3-quebra-frases-0.3.7-r1.apk | 8.6 KiB | 2024-04-15 21:52:01 |
py3-sphinx-theme-readable-1.3.0-r9.apk | 8.6 KiB | 2024-04-15 21:52:03 |
perl-data-validate-ip-0.31-r1.apk | 8.6 KiB | 2023-07-04 00:01:45 |
nitrocli-doc-0.4.1-r3.apk | 8.6 KiB | 2023-05-24 07:48:19 |
py3-ovos-phal-plugin-network-manager-pyc-1.1.0-r1.apk | 8.6 KiB | 2024-04-15 21:51:59 |
perl-session-storage-secure-1.000-r2.apk | 8.6 KiB | 2023-07-04 00:01:46 |
prosody-mod-smacks-0.11_hg20201208-r0.apk | 8.6 KiB | 2020-12-08 21:10:45 |
fscryptctl-1.1.0-r0.apk | 8.6 KiB | 2024-04-09 18:48:47 |
libucl-doc-0.9.0-r0.apk | 8.6 KiB | 2024-02-02 21:18:03 |
fatresize-1.1.0-r1.apk | 8.6 KiB | 2022-11-02 08:47:07 |
perl-net-curl-promiser-0.20-r0.apk | 8.6 KiB | 2024-02-05 16:12:54 |
perl-mixin-event-dispatch-2.000-r0.apk | 8.6 KiB | 2024-01-03 18:24:45 |
perl-test-timer-2.12-r2.apk | 8.6 KiB | 2024-01-04 01:13:43 |
perl-autobox-doc-3.0.1-r8.apk | 8.6 KiB | 2023-07-04 00:01:45 |
logc-dev-0.5.0-r0.apk | 8.6 KiB | 2023-11-18 17:33:12 |
py3-rfc3987-1.3.8-r5.apk | 8.6 KiB | 2024-04-15 21:52:01 |
py3-itunespy-1.6-r3.apk | 8.7 KiB | 2024-04-15 21:51:36 |
py3-uptime-3.0.1-r8.apk | 8.7 KiB | 2024-04-15 21:52:05 |
finger-0.5-r0.apk | 8.7 KiB | 2024-03-09 09:11:58 |
py3-ovos-ocp-news-plugin-pyc-0.0.3-r1.apk | 8.7 KiB | 2024-04-15 21:51:59 |
py3-pylru-pyc-1.2.1-r1.apk | 8.7 KiB | 2024-04-15 21:52:00 |
py3-thefuzz-pyc-0.22.1-r1.apk | 8.7 KiB | 2024-04-15 21:52:04 |
grommunio-admin-common-1.0_git20240118-r0.apk | 8.7 KiB | 2024-04-26 06:32:52 |
perl-rxperl-mojo-doc-6.8.1-r0.apk | 8.7 KiB | 2024-01-19 03:45:43 |
py3-forbiddenfruit-0.1.4-r2.apk | 8.7 KiB | 2024-04-15 21:51:35 |
perl-rxperl-anyevent-doc-6.8.1-r0.apk | 8.7 KiB | 2024-01-19 03:45:43 |
logc-0.5.0-r0.apk | 8.7 KiB | 2023-11-18 17:33:12 |
py3-keepalive-0.5-r5.apk | 8.7 KiB | 2024-04-14 20:33:58 |
perl-rxperl-ioasync-doc-6.9.1-r0.apk | 8.7 KiB | 2024-01-19 03:45:43 |
py3-sphinxcontrib-adadomain-0.2-r9.apk | 8.7 KiB | 2024-04-15 21:52:03 |
perl-number-format-doc-1.76-r1.apk | 8.7 KiB | 2023-07-04 00:01:46 |
py3-pytweening-pyc-1.2.0-r2.apk | 8.8 KiB | 2024-04-15 21:52:01 |
py3-pytweening-1.2.0-r2.apk | 8.8 KiB | 2024-04-15 21:52:01 |
bchunk-1.2.2-r2.apk | 8.8 KiB | 2022-11-02 08:46:34 |
ssh-honeypot-0.1.1-r1.apk | 8.8 KiB | 2023-05-15 20:51:59 |
innernet-doc-1.6.1-r0.apk | 8.8 KiB | 2024-02-23 19:45:55 |
perl-guard-1.023-r8.apk | 8.8 KiB | 2023-07-04 00:01:46 |
bindfs-doc-1.17.6-r0.apk | 8.8 KiB | 2023-12-03 10:03:34 |
mediastreamer2-plugin-x264-20200722-r6.apk | 8.8 KiB | 2024-04-13 17:05:21 |
py3-glob2-0.7-r5.apk | 8.8 KiB | 2023-04-24 09:29:08 |
py3-uc-micro-py-1.0.2-r1.apk | 8.8 KiB | 2024-04-15 21:52:04 |
py3-dict2xml-1.7.5-r2.apk | 8.8 KiB | 2024-04-15 21:51:31 |
duc-doc-1.4.5-r0.apk | 8.8 KiB | 2023-06-27 16:29:36 |
py3-dweepy-0.3.0-r7.apk | 8.8 KiB | 2024-04-15 21:51:33 |
py3-sphinx-theme-better-0.1.5-r6.apk | 8.8 KiB | 2024-04-15 21:52:02 |
p910nd-0.97-r2.apk | 8.8 KiB | 2022-06-04 12:38:57 |
gatling-doc-0.16-r4.apk | 8.8 KiB | 2023-08-07 20:54:23 |
ccze-doc-0.2.1-r1.apk | 8.8 KiB | 2022-09-07 21:01:26 |
py3-ovos-phal-plugin-system-pyc-0.0.4-r1.apk | 8.8 KiB | 2024-04-15 21:51:59 |
rofi-pass-2.0.2-r2.apk | 8.8 KiB | 2021-12-29 20:52:37 |
sylpheed-imap-notify-1.1.0-r0.apk | 8.8 KiB | 2019-04-17 07:38:00 |
libmdbx-doc-0.11.8-r0.apk | 8.9 KiB | 2022-07-02 04:27:54 |
tdrop-doc-0.5.0-r0.apk | 8.9 KiB | 2022-05-05 20:56:48 |
perl-future-q-doc-0.120-r0.apk | 8.9 KiB | 2024-01-19 00:19:05 |
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk | 8.9 KiB | 2024-04-15 21:52:03 |
lua-inet-0.2.0-r0.apk | 8.9 KiB | 2022-01-14 09:21:01 |
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk | 8.9 KiB | 2024-04-15 21:52:03 |
perl-gtk3-doc-0.038-r1.apk | 8.9 KiB | 2023-07-04 00:01:46 |
md5ha1-0_git20171202-r1.apk | 8.9 KiB | 2022-11-02 08:48:33 |
py3-tls_parser-2.0.1-r1.apk | 8.9 KiB | 2024-04-15 21:52:04 |
py3-telegram-text-0.2.0-r1.apk | 8.9 KiB | 2024-04-15 21:52:04 |
horust-doc-0.1.7-r1.apk | 8.9 KiB | 2023-05-24 07:48:17 |
mkdocs-ivory-0.4.6-r4.apk | 8.9 KiB | 2024-04-15 21:51:19 |
lua5.2-luastatic-0.0.12-r1.apk | 8.9 KiB | 2022-11-02 08:48:21 |
py3-netifaces2-pyc-0.0.22-r0.apk | 9.0 KiB | 2024-04-16 00:40:05 |
sxcs-1.0.0-r0.apk | 9.0 KiB | 2024-02-18 01:32:32 |
lua5.3-luastatic-0.0.12-r1.apk | 9.0 KiB | 2022-11-02 08:48:21 |
pam_sqlite3-1.0.2-r1.apk | 9.0 KiB | 2023-05-25 06:21:50 |
libwbxml-dev-0.11.8-r0.apk | 9.0 KiB | 2022-03-19 09:09:12 |
libretro-gong-0_git20220319-r0.apk | 9.0 KiB | 2022-04-21 10:27:38 |
py3-google-trans-new-1.1.9-r2.apk | 9.0 KiB | 2024-04-15 21:51:35 |
py3-aiohttp-jinja2-pyc-1.6-r2.apk | 9.0 KiB | 2024-04-15 21:51:25 |
freediameter-1.5.0-r1.apk | 9.0 KiB | 2022-11-06 10:59:44 |
fcitx5-chewing-lang-5.1.2-r0.apk | 9.0 KiB | 2024-05-10 03:39:43 |
perl-future-http-0.17-r0.apk | 9.0 KiB | 2024-04-13 17:05:21 |
fff-doc-2.2-r0.apk | 9.0 KiB | 2022-06-15 20:50:25 |
catdoc-doc-0.95-r1.apk | 9.0 KiB | 2022-11-02 08:46:38 |
gtk4-layer-shell-dev-1.0.2-r0.apk | 9.0 KiB | 2023-11-10 23:00:52 |
py3-pyrebase-3.0.27-r4.apk | 9.0 KiB | 2024-04-15 21:52:00 |
imrsh-0_git20210320-r1.apk | 9.0 KiB | 2022-11-02 08:47:24 |
icingaweb2-module-pnp-1.1.0-r1.apk | 9.0 KiB | 2018-10-12 19:49:12 |
libiscsi-doc-1.19.0-r2.apk | 9.0 KiB | 2023-05-15 20:51:50 |
perl-class-c3-doc-0.35-r1.apk | 9.0 KiB | 2023-07-04 00:01:45 |
click-dev-0.5.2-r1.apk | 9.0 KiB | 2024-04-15 21:50:55 |
lua5.4-luastatic-0.0.12-r1.apk | 9.0 KiB | 2022-11-02 08:48:21 |
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk | 9.0 KiB | 2024-04-15 21:52:03 |
dsnet-doc-0.7.3-r2.apk | 9.0 KiB | 2024-04-07 00:47:03 |
t2sz-1.1.2-r0.apk | 9.1 KiB | 2023-04-16 01:42:54 |
py3-junit-xml-pyc-1.9-r2.apk | 9.1 KiB | 2024-04-15 21:51:36 |
perl-database-async-engine-postgresql-doc-1.004-r0.apk | 9.1 KiB | 2024-01-17 12:12:35 |
s-postgray-doc-0.8.2-r0.apk | 9.1 KiB | 2024-03-15 19:09:26 |
sflowtool-doc-6.02-r0.apk | 9.1 KiB | 2023-11-13 23:00:07 |
perl-color-rgb-util-0.607-r0.apk | 9.1 KiB | 2024-04-16 11:29:09 |
supermin-doc-5.2.2-r2.apk | 9.1 KiB | 2024-04-18 11:10:44 |
py3-python-archive-pyc-0.2-r6.apk | 9.1 KiB | 2024-04-15 21:52:00 |
openswitcher-proxy-0.5.0-r3.apk | 9.1 KiB | 2024-04-15 21:51:23 |
x11docker-doc-7.6.0-r1.apk | 9.1 KiB | 2023-12-19 15:01:39 |
py3-natpmp-1.3.2-r1.apk | 9.2 KiB | 2024-04-15 21:51:55 |
rustic-zsh-completion-0.6.1-r1.apk | 9.2 KiB | 2024-01-08 09:44:33 |
py3-pyisbn-pyc-1.3.1-r2.apk | 9.2 KiB | 2024-04-15 21:52:00 |
rlottie-dev-0.2_git20230831-r0.apk | 9.2 KiB | 2023-12-18 14:56:31 |
py3-levenshtein-pyc-0.25.1-r2.apk | 9.2 KiB | 2024-04-13 17:05:21 |
xcur2png-0.7.1-r0.apk | 9.2 KiB | 2024-04-23 08:03:30 |
apt-mirror-0.5.4-r0.apk | 9.2 KiB | 2023-01-05 22:23:20 |
perl-musicbrainz-discid-0.06-r0.apk | 9.2 KiB | 2023-08-22 17:28:39 |
perl-class-c3-0.35-r1.apk | 9.2 KiB | 2023-07-04 00:01:45 |
py3-toposort-1.10-r3.apk | 9.2 KiB | 2024-04-15 21:52:04 |
avarice-doc-2.14-r3.apk | 9.2 KiB | 2022-10-21 21:52:53 |
lomiri-trust-store-dev-2.0.2-r0.apk | 9.2 KiB | 2024-02-06 23:41:36 |
perl-object-event-1.23-r0.apk | 9.2 KiB | 2024-01-03 18:46:33 |
gpg-remailer-doc-3.04.07-r0.apk | 9.2 KiB | 2022-12-30 11:44:32 |
certbot-dns-njalla-1.0.2-r2.apk | 9.3 KiB | 2024-04-15 21:50:55 |
py3-landlock-pyc-1.0.0_pre4-r2.apk | 9.3 KiB | 2024-04-15 21:51:36 |
py3-lib_users-pyc-0.15-r3.apk | 9.3 KiB | 2024-04-15 21:51:38 |
afetch-2.2.0-r1.apk | 9.3 KiB | 2022-11-02 08:46:28 |
perl-net-amqp-rabbitmq-doc-2.40010-r2.apk | 9.3 KiB | 2023-07-04 00:01:46 |
perl-dbix-class-candy-doc-0.005003-r5.apk | 9.3 KiB | 2023-07-04 00:01:45 |
py3-pytest-mypy-pyc-0.10.3-r2.apk | 9.3 KiB | 2024-04-15 21:52:00 |
perl-algorithm-backoff-0.010-r0.apk | 9.3 KiB | 2024-04-16 11:29:09 |
perl-minion-backend-pg-10.29-r0.apk | 9.3 KiB | 2024-03-20 11:52:02 |
pamtester-0.1.2-r3.apk | 9.3 KiB | 2022-10-14 16:16:44 |
ovos-messagebus-0.0.3-r1.apk | 9.3 KiB | 2024-04-15 21:51:24 |
py3-pcbnewtransition-pyc-0.4.1-r0.apk | 9.3 KiB | 2024-04-16 16:22:57 |
atool-doc-0.39.0-r4.apk | 9.3 KiB | 2022-11-02 08:46:34 |
perl-future-q-0.120-r0.apk | 9.3 KiB | 2024-01-19 00:19:05 |
kerberoast-0.2.0-r1.apk | 9.4 KiB | 2024-04-15 21:51:03 |
irccd-dev-4.0.3-r0.apk | 9.4 KiB | 2023-07-29 20:02:48 |
py3-discid-1.2.0-r5.apk | 9.4 KiB | 2024-04-15 21:51:31 |
nbsdgames-doc-5-r0.apk | 9.4 KiB | 2022-05-04 13:20:58 |
py3-forbiddenfruit-pyc-0.1.4-r2.apk | 9.4 KiB | 2024-04-15 21:51:35 |
amdgpu-fan-pyc-0.1.0-r4.apk | 9.4 KiB | 2024-04-13 17:05:19 |
py3-padacioso-0.2.0-r1.apk | 9.4 KiB | 2024-04-15 21:51:59 |
keystone-python-pyc-0.9.2-r6.apk | 9.4 KiB | 2024-04-15 21:51:04 |
py3-pydes-2.0.1-r4.apk | 9.4 KiB | 2024-04-14 20:33:58 |
ocaml-result-1.5-r2.apk | 9.4 KiB | 2024-03-24 02:12:48 |
py3-certauth-pyc-1.3.0-r0.apk | 9.4 KiB | 2023-10-21 08:18:37 |
py3-sphinxcontrib-actdiag-pyc-3.0.0-r2.apk | 9.4 KiB | 2023-04-26 15:56:02 |
py3-iso639-lang-pyc-2.2.3-r0.apk | 9.4 KiB | 2024-04-18 14:00:16 |
perl-dancer-plugin-passphrase-2.0.1-r4.apk | 9.4 KiB | 2023-07-04 00:01:45 |
py3-puremagic-pyc-1.21-r1.apk | 9.5 KiB | 2024-04-15 21:52:00 |
py3-setuptools-declarative-requirements-1.3.0-r3.apk | 9.5 KiB | 2024-04-15 21:52:02 |
emacs-hnreader-0_git20221116-r0.apk | 9.5 KiB | 2024-04-02 07:53:26 |
perl-hash-ordered-0.014-r0.apk | 9.5 KiB | 2024-01-19 00:19:05 |
libtsm-dev-4.0.2-r0.apk | 9.6 KiB | 2022-10-05 00:06:44 |
perl-test-lwp-useragent-0.036-r0.apk | 9.6 KiB | 2024-01-14 15:56:32 |
pokoy-0.2.5-r0.apk | 9.6 KiB | 2023-05-22 21:26:25 |
azorius-doc-0.3.4-r2.apk | 9.6 KiB | 2024-04-07 00:45:38 |
perl-freezethaw-0.5001-r2.apk | 9.6 KiB | 2023-07-04 00:01:46 |
endlessh-1.1-r0.apk | 9.6 KiB | 2022-02-20 18:54:13 |
py3-minidb-2.0.7-r3.apk | 9.6 KiB | 2024-04-15 21:51:54 |
perl-test-toolbox-0.4-r5.apk | 9.6 KiB | 2023-10-17 11:19:33 |
py3-natpmp-pyc-1.3.2-r1.apk | 9.6 KiB | 2024-04-15 21:51:55 |
py3-pymsgbox-pyc-1.0.9-r4.apk | 9.6 KiB | 2024-04-15 21:52:00 |
perl-test-modern-doc-0.013-r3.apk | 9.6 KiB | 2023-07-04 00:01:46 |
jshn-20230523-r0.apk | 9.6 KiB | 2023-08-06 19:59:31 |
lomiri-indicator-network-dev-1.0.2-r0.apk | 9.6 KiB | 2024-02-06 23:41:36 |
apt-dater-doc-1.0.4-r3.apk | 9.6 KiB | 2023-04-29 21:47:13 |
py3-typing_inspect-0.9.0-r2.apk | 9.7 KiB | 2024-04-15 21:52:04 |
libvoikko-dev-4.3.2-r1.apk | 9.7 KiB | 2024-04-15 21:51:18 |
py3-rstr-3.2.2-r3.apk | 9.7 KiB | 2024-04-15 21:52:01 |
py3-proglog-pyc-0.1.10-r2.apk | 9.7 KiB | 2024-04-15 21:52:00 |
perl-html-tableextract-doc-2.15-r4.apk | 9.7 KiB | 2023-07-04 00:01:46 |
handlebars-utils-1.0.0-r1.apk | 9.7 KiB | 2023-05-15 20:51:45 |
php81-pecl-uploadprogress-doc-2.0.2-r1.apk | 9.7 KiB | 2024-04-10 23:39:35 |
py3-nose-timer-pyc-1.0.1-r5.apk | 9.7 KiB | 2024-04-15 21:51:55 |
perl-net-async-xmpp-doc-0.003-r0.apk | 9.7 KiB | 2024-01-16 19:22:12 |
perl-list-binarysearch-0.25-r0.apk | 9.7 KiB | 2024-01-17 12:12:35 |
console_bridge-1.0.2-r0.apk | 9.7 KiB | 2022-11-08 20:59:06 |
pcsc-perl-doc-1.4.16-r0.apk | 9.7 KiB | 2023-12-03 21:19:41 |
noice-0.8-r1.apk | 9.7 KiB | 2022-11-02 08:48:39 |
mrsh-dev-0_git20210518-r1.apk | 9.7 KiB | 2022-11-02 08:48:37 |
perl-term-ui-0.50-r1.apk | 9.7 KiB | 2023-07-04 00:01:46 |
py3-pytest-regtest-2.1.1-r1.apk | 9.7 KiB | 2024-04-15 21:52:00 |
py3-ovos-media-plugin-simple-0.0.1-r1.apk | 9.7 KiB | 2024-04-15 21:51:59 |
perl-starman-doc-0.4017-r0.apk | 9.7 KiB | 2023-09-14 10:34:36 |
py3-thefuzz-0.22.1-r1.apk | 9.8 KiB | 2024-04-15 21:52:04 |
py3-rstr-pyc-3.2.2-r3.apk | 9.8 KiB | 2024-04-15 21:52:01 |
knative-client-bash-completion-1.14.0-r0.apk | 9.8 KiB | 2024-05-04 11:20:50 |
py3-cucumber-tag-expressions-pyc-6.0.0-r1.apk | 9.8 KiB | 2024-04-15 21:51:30 |
py3-colorthief-pyc-0.2.1-r1.apk | 9.8 KiB | 2024-04-15 21:51:30 |
acme-tiny-pyc-5.0.1-r2.apk | 9.8 KiB | 2024-04-15 21:50:52 |
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk | 9.8 KiB | 2024-04-15 21:51:59 |
ansible-bender-doc-0.10.1-r2.apk | 9.8 KiB | 2024-04-13 17:05:19 |
py3-pygtail-pyc-0.14.0-r3.apk | 9.8 KiB | 2024-04-15 21:52:00 |
uclient-fetch-20210514-r0.apk | 9.8 KiB | 2022-06-09 01:01:00 |
perl-net-async-redis-xs-1.001-r0.apk | 9.9 KiB | 2024-01-24 07:57:11 |
perl-minion-backend-sqlite-5.0.7-r0.apk | 9.9 KiB | 2024-02-10 01:02:23 |
flowd-doc-0.9.1-r9.apk | 9.9 KiB | 2023-07-04 00:01:45 |
php81-pecl-pcov-1.0.11-r0.apk | 9.9 KiB | 2024-04-10 23:39:35 |
nsnake-3.0.0-r0.apk | 9.9 KiB | 2022-04-15 12:08:48 |
fcitx5-gtk-dev-5.1.3-r0.apk | 9.9 KiB | 2024-05-10 03:39:43 |
perl-digest-crc-0.24-r0.apk | 9.9 KiB | 2024-01-15 21:00:53 |
perl-system-command-doc-1.122-r0.apk | 9.9 KiB | 2024-02-05 16:10:07 |
spvm-thread-0.001-r0.apk | 9.9 KiB | 2024-04-10 23:39:36 |
py3-bottle-session-1.0-r6.apk | 9.9 KiB | 2024-04-15 21:51:29 |
py3-pytest-metadata-3.1.1-r0.apk | 9.9 KiB | 2024-04-15 23:47:18 |
opkg-0.6.2-r0.apk | 9.9 KiB | 2023-11-27 21:03:55 |
libgrapheme-dev-1-r0.apk | 10.0 KiB | 2022-01-28 23:10:29 |
nvim-gruvbox-0.0.0_git20221212-r0.apk | 10.0 KiB | 2022-12-18 18:18:11 |
apache2-mod-authnz-external-doc-3.3.3-r0.apk | 10.0 KiB | 2020-02-26 22:29:26 |
libuecc-7-r3.apk | 10.0 KiB | 2023-10-18 16:23:34 |
mint-y-icons-doc-1.7.2-r0.apk | 10.0 KiB | 2023-12-31 19:13:26 |
py3-sortedcollections-2.1.0-r4.apk | 10.0 KiB | 2024-04-15 21:52:02 |
py3-itemadapter-0.8.0-r2.apk | 10.0 KiB | 2024-04-15 21:51:36 |
alttab-doc-1.7.1-r0.apk | 10.0 KiB | 2023-06-09 22:20:51 |
perl-anyevent-riperedis-doc-0.48-r0.apk | 10.0 KiB | 2024-01-15 14:28:16 |
py3-aiohttp-session-2.12.0-r3.apk | 10.1 KiB | 2024-04-15 21:51:25 |
py3-sstash-pyc-0.17-r8.apk | 10.1 KiB | 2024-04-15 21:52:03 |
thunarx-python-0.5.2-r2.apk | 10.1 KiB | 2024-04-16 16:34:55 |
php81-pecl-csv-0.4.2-r0.apk | 10.1 KiB | 2022-06-03 05:54:33 |
ovos-phal-0.0.5_alpha6-r1.apk | 10.1 KiB | 2024-04-15 21:51:24 |
py3-iniparse-doc-0.5-r6.apk | 10.1 KiB | 2024-04-15 21:51:36 |
py3-base58-2.1.1-r1.apk | 10.1 KiB | 2024-04-15 21:51:29 |
tree-sitter-git-diff-0_git20230730-r0.apk | 10.1 KiB | 2023-11-16 23:18:30 |
perl-pod-tidy-0.10-r1.apk | 10.1 KiB | 2023-07-04 00:01:46 |
py3-clickclick-pyc-20.10.2-r2.apk | 10.1 KiB | 2023-04-22 11:46:10 |
ocaml-ppx_derivers-1.2.1-r2.apk | 10.1 KiB | 2024-03-24 02:12:25 |
py3-blockchain-1.4.4-r6.apk | 10.1 KiB | 2024-04-15 21:51:29 |
py3-halo-0.0.31-r4.apk | 10.1 KiB | 2024-04-15 21:51:35 |
sway-audio-idle-inhibit-0.1.1_git20230809-r0.apk | 10.2 KiB | 2023-08-31 10:46:55 |
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk | 10.2 KiB | 2024-04-15 21:51:58 |
py3-sphinx-theme-quark-0.6.0-r2.apk | 10.2 KiB | 2023-04-26 15:56:02 |
emacs-embark-consult-1.0_git20240327-r0.apk | 10.2 KiB | 2024-04-09 14:46:21 |
linuxptp-phc_ctl-4.2-r0.apk | 10.2 KiB | 2023-12-20 18:54:36 |
py3-u-msgpack-2.8.0-r1.apk | 10.2 KiB | 2024-04-17 02:55:21 |
perl-math-int64-doc-0.57-r0.apk | 10.2 KiB | 2024-01-24 07:37:06 |
py3-bencode-pyc-4.0.0-r1.apk | 10.2 KiB | 2024-04-15 21:51:29 |
nullmailer-doc-2.2-r4.apk | 10.2 KiB | 2021-12-13 15:08:25 |
pick-4.0.0-r0.apk | 10.2 KiB | 2023-05-18 09:59:12 |
ifuse-1.1.4-r4.apk | 10.2 KiB | 2023-08-13 19:16:21 |
xfce4-smartbookmark-plugin-0.5.2-r0.apk | 10.2 KiB | 2023-08-03 20:00:15 |
libsds-2.0.0-r1.apk | 10.2 KiB | 2020-12-15 14:21:53 |
py3-ovos-phal-plugin-system-0.0.4-r1.apk | 10.2 KiB | 2024-04-15 21:51:59 |
perl-minion-backend-redis-0.003-r0.apk | 10.2 KiB | 2024-02-10 01:02:23 |
perl-log-fu-0.31-r4.apk | 10.2 KiB | 2023-07-04 00:01:46 |
py3-aiohttp-remotes-1.2.0-r3.apk | 10.3 KiB | 2024-04-15 21:51:25 |
wpa_actiond-1.4-r7.apk | 10.3 KiB | 2022-11-02 08:49:39 |
gtk4-layer-shell-demo-1.0.2-r0.apk | 10.3 KiB | 2023-11-10 23:00:52 |
py3-sphinxcontrib-inheritance-0.9.0-r9.apk | 10.3 KiB | 2024-04-15 21:52:03 |
libgrapheme-1-r0.apk | 10.3 KiB | 2022-01-28 23:10:29 |
xob-0.3-r0.apk | 10.3 KiB | 2023-02-12 00:20:31 |
netsed-1.3-r3.apk | 10.3 KiB | 2022-11-02 08:48:39 |
perl-pod-tidy-doc-0.10-r1.apk | 10.3 KiB | 2023-07-04 00:01:46 |
py3-sphinxcontrib-htsql-0.1.5-r8.apk | 10.3 KiB | 2024-04-15 21:52:03 |
py3-uritools-4.0.2-r1.apk | 10.3 KiB | 2024-04-15 21:52:05 |
py3-google-trans-new-pyc-1.1.9-r2.apk | 10.3 KiB | 2024-04-15 21:51:35 |
fatrace-0.17.0-r0.apk | 10.3 KiB | 2022-11-14 21:14:32 |
py3-flask-httpauth-pyc-4.8.0-r2.apk | 10.3 KiB | 2024-04-15 21:51:35 |
shntool-doc-3.0.10-r4.apk | 10.3 KiB | 2022-10-08 16:06:38 |
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk | 10.3 KiB | 2024-04-15 21:52:03 |
perl-log-message-0.08-r3.apk | 10.3 KiB | 2023-07-04 00:01:46 |
ydcv-pyc-0.7-r7.apk | 10.3 KiB | 2024-04-15 21:52:08 |
php81-bz2-8.1.28-r0.apk | 10.3 KiB | 2024-04-10 23:39:34 |
tick-1.1.0-r0.apk | 10.3 KiB | 2024-04-27 10:53:24 |
ocaml-extlib-doc-1.7.9-r2.apk | 10.4 KiB | 2024-03-24 02:12:08 |
py3-rfc3987-pyc-1.3.8-r5.apk | 10.4 KiB | 2024-04-15 21:52:01 |
py3-businesstime-0.3.0-r9.apk | 10.4 KiB | 2024-04-15 21:51:29 |
py3-py-radix-pyc-0.10.0-r9.apk | 10.4 KiB | 2024-04-15 21:52:00 |
cgo-0.6.1-r1.apk | 10.4 KiB | 2022-11-02 08:46:41 |
planarity-3.0.2.0-r2.apk | 10.4 KiB | 2023-08-01 12:38:05 |
snapper-dev-0.10.7-r1.apk | 10.4 KiB | 2024-04-22 18:59:12 |
py3-phpserialize-pyc-1.3-r7.apk | 10.5 KiB | 2024-04-15 21:51:59 |
perl-promise-es6-0.28-r0.apk | 10.5 KiB | 2024-01-19 00:19:05 |
arj-doc-0_git20220125-r1.apk | 10.5 KiB | 2022-10-14 16:16:26 |
py3-riotctrl-pyc-0.5.0-r3.apk | 10.5 KiB | 2024-04-15 21:52:01 |
py3-orderedmultidict-1.0.1-r6.apk | 10.5 KiB | 2024-04-15 21:51:58 |
nvim-cmp-doc-0.0.0_git20221011-r0.apk | 10.5 KiB | 2022-10-13 20:59:39 |
xvkbd-doc-4.1-r2.apk | 10.5 KiB | 2022-11-02 08:49:40 |
py3-flask-flatpages-0.8.2-r2.apk | 10.5 KiB | 2024-04-15 21:51:35 |
py3-click-completion-0.5.2-r1.apk | 10.5 KiB | 2024-04-15 21:51:30 |
linuxkit-doc-1.2.0-r1.apk | 10.5 KiB | 2024-04-07 00:49:13 |
icingaweb2-module-fileshipper-1.2.0-r3.apk | 10.6 KiB | 2023-12-04 08:25:24 |
py3-rabbit-1.1.0-r7.apk | 10.6 KiB | 2024-04-15 21:52:01 |
perl-netaddr-mac-0.98-r1.apk | 10.6 KiB | 2023-07-04 00:01:46 |
py3-pytest-helpers-namespace-2021.12.29-r3.apk | 10.6 KiB | 2024-04-15 21:52:00 |
tldr-python-client-3.2.0-r1.apk | 10.6 KiB | 2024-04-15 21:52:07 |
qstardict-doc-1.3-r1.apk | 10.6 KiB | 2022-11-02 08:49:04 |
wch-isp-0.4.1-r1.apk | 10.6 KiB | 2024-01-19 18:40:42 |
bananui-demos-2.0.0-r0.apk | 10.6 KiB | 2023-10-06 06:01:43 |
py3-ovos-phal-plugin-network-manager-1.1.0-r1.apk | 10.7 KiB | 2024-04-15 21:51:59 |
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk | 10.7 KiB | 2024-04-15 21:51:35 |
py3-pytest-regtest-pyc-2.1.1-r1.apk | 10.7 KiB | 2024-04-15 21:52:00 |
libfishsound-1.0.0-r1.apk | 10.7 KiB | 2020-08-09 23:25:30 |
thumbdrives-0.3.2-r2.apk | 10.7 KiB | 2024-05-03 22:53:21 |
py3-django-timezone-field-pyc-6.1.0-r2.apk | 10.7 KiB | 2024-04-15 21:51:31 |
py3-dataclasses-serialization-1.3.1-r3.apk | 10.7 KiB | 2024-04-15 21:51:31 |
perl-ref-util-xs-0.117-r7.apk | 10.7 KiB | 2023-07-04 00:01:46 |
py3-requests-kerberos-pyc-0.14.0-r4.apk | 10.7 KiB | 2024-04-15 21:52:01 |
py3-simber-0.2.6-r3.apk | 10.7 KiB | 2024-04-15 21:52:02 |
toapk-1.0-r0.apk | 10.7 KiB | 2023-12-02 12:04:10 |
m17n-db-lang-1.8.5-r0.apk | 10.7 KiB | 2023-11-23 21:18:55 |
fcitx5-kkc-lang-5.1.3-r0.apk | 10.7 KiB | 2024-05-10 03:39:43 |
fff-2.2-r0.apk | 10.7 KiB | 2022-06-15 20:50:25 |
screenkey-doc-1.5-r6.apk | 10.8 KiB | 2024-04-15 21:52:07 |
xwaylandvideobridge-lang-0.4.0-r1.apk | 10.8 KiB | 2024-03-03 23:25:42 |
git-quick-stats-2.5.5-r0.apk | 10.8 KiB | 2024-04-29 16:36:04 |
perl-anyevent-mqtt-1.212810-r0.apk | 10.8 KiB | 2024-04-16 17:38:49 |
perl-glib-object-introspection-doc-0.051-r0.apk | 10.8 KiB | 2023-08-29 11:36:35 |
neard-dev-0.19-r0.apk | 10.8 KiB | 2023-09-19 19:51:06 |
qml-asteroid-dev-2.0.0-r0.apk | 10.8 KiB | 2023-08-31 09:43:23 |
py3-sphinxcontrib-issuetracker-0.11-r7.apk | 10.8 KiB | 2024-04-15 21:52:03 |
perl-getopt-long-descriptive-doc-0.114-r0.apk | 10.8 KiB | 2024-01-27 21:42:21 |
lomiri-schemas-0.1.4-r1.apk | 10.8 KiB | 2024-03-26 00:02:37 |
py3-pygfm-2.0.0-r1.apk | 10.9 KiB | 2024-04-15 21:52:00 |
perl-nice-try-doc-1.3.10-r0.apk | 10.9 KiB | 2024-03-28 20:31:01 |
py3-sphinxcontrib-phpdomain-0.11.2-r1.apk | 10.9 KiB | 2024-04-15 21:52:03 |
py3-pam-2.0.2-r2.apk | 10.9 KiB | 2024-04-15 21:51:59 |
py3-flask-paginate-pyc-0.8.1-r6.apk | 10.9 KiB | 2024-04-15 21:51:35 |
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk | 10.9 KiB | 2024-04-15 21:52:03 |
py3-lsp-mypy-pyc-0.6.8-r1.apk | 10.9 KiB | 2024-04-15 21:51:54 |
lolcat-1.4-r0.apk | 10.9 KiB | 2023-06-14 22:17:06 |
php81-pecl-zstd-0.13.3-r0.apk | 11.0 KiB | 2024-04-10 23:39:35 |
libdng-0.1.1-r0.apk | 11.0 KiB | 2024-01-21 22:37:11 |
perl-crypt-random-seed-0.03-r0.apk | 11.0 KiB | 2024-01-15 21:00:53 |
psi-notify-1.3.1-r0.apk | 11.0 KiB | 2023-04-09 12:03:46 |
py3-x-wr-timezone-0.0.7-r1.apk | 11.0 KiB | 2024-04-15 21:52:05 |
py3-pdal-pyc-3.2.3-r4.apk | 11.0 KiB | 2024-04-15 21:51:59 |
libcryptmount-2.20-r0.apk | 11.0 KiB | 2023-12-23 02:34:42 |
py3-fuzzywuzzy-0.18.0-r6.apk | 11.0 KiB | 2024-04-13 17:05:21 |
perl-anyevent-mqtt-doc-1.212810-r0.apk | 11.0 KiB | 2024-04-16 17:38:49 |
php81-posix-8.1.28-r0.apk | 11.0 KiB | 2024-04-10 23:39:36 |
perl-math-libm-1.00-r13.apk | 11.0 KiB | 2023-07-04 00:01:46 |
mktorrent-borg-0.9.9-r1.apk | 11.0 KiB | 2022-08-04 06:44:22 |
lua5.2-libmodbus-0.6.1-r0.apk | 11.1 KiB | 2020-07-11 14:23:21 |
py3-vdf-3.4-r1.apk | 11.1 KiB | 2024-04-15 21:52:05 |
py3-sphinxcontrib-restbuilder-0.3-r6.apk | 11.1 KiB | 2024-04-15 21:52:03 |
lua5.1-libmodbus-0.6.1-r0.apk | 11.1 KiB | 2020-07-11 14:23:21 |
lrcalc-dev-2.1-r1.apk | 11.1 KiB | 2023-05-15 20:51:52 |
lychee-doc-0.15.1-r0.apk | 11.1 KiB | 2024-04-30 00:47:36 |
dasht-doc-2.4.0-r0.apk | 11.1 KiB | 2023-12-24 03:12:55 |
octoprint-filecheck-pyc-2024.3.27-r0.apk | 11.1 KiB | 2024-04-16 00:40:05 |
py3-ovos-ocp-news-plugin-0.0.3-r1.apk | 11.2 KiB | 2024-04-15 21:51:59 |
perl-xml-bare-doc-0.53-r12.apk | 11.2 KiB | 2023-10-17 11:19:33 |
lomiri-content-hub-dev-1.1.1-r0.apk | 11.2 KiB | 2024-02-10 13:52:53 |
py3-bson-0.5.10-r5.apk | 11.2 KiB | 2024-04-15 21:51:29 |
libecap-dev-1.0.1-r1.apk | 11.2 KiB | 2023-05-15 20:51:50 |
perl-devel-confess-0.009004-r0.apk | 11.2 KiB | 2024-02-24 12:50:42 |
bcnm-doc-0.0.1.7-r0.apk | 11.2 KiB | 2023-11-06 21:51:16 |
php81-xmlwriter-8.1.28-r0.apk | 11.2 KiB | 2024-04-10 23:39:36 |
emacs-taxy-0.10.1-r0.apk | 11.2 KiB | 2024-04-02 07:53:26 |
perl-net-mqtt-simple-doc-1.29-r0.apk | 11.2 KiB | 2024-04-16 17:38:49 |
py3-downloader-cli-0.3.4-r1.apk | 11.2 KiB | 2024-04-15 21:51:32 |
gnome-common-3.18.0-r3.apk | 11.3 KiB | 2023-01-29 21:04:03 |
perl-list-binarysearch-doc-0.25-r0.apk | 11.3 KiB | 2024-01-17 12:12:35 |
ddgr-doc-2.2-r0.apk | 11.3 KiB | 2024-01-14 20:26:44 |
py3-lsp-mypy-0.6.8-r1.apk | 11.3 KiB | 2024-04-15 21:51:54 |
py3-pygelbooru-pyc-0.5.0-r2.apk | 11.3 KiB | 2023-04-26 15:55:58 |
ocaml-ppx_derivers-dev-1.2.1-r2.apk | 11.3 KiB | 2024-03-24 02:12:25 |
php81-pecl-brotli-0.15.0-r0.apk | 11.3 KiB | 2024-04-10 23:39:35 |
py3-django-timezone-field-6.1.0-r2.apk | 11.3 KiB | 2024-04-15 21:51:31 |
py3-recommonmark-0.7.1-r3.apk | 11.3 KiB | 2024-04-15 21:52:01 |
kirc-0.3.2-r0.apk | 11.4 KiB | 2023-05-23 12:48:36 |
kkc-package-data-0.3.5-r3.apk | 11.4 KiB | 2024-04-28 06:12:22 |
py3-rfc-bibtex-0.3.2-r6.apk | 11.4 KiB | 2024-04-15 21:52:01 |
tanidvr-dhav2mkv-1.4.1-r1.apk | 11.4 KiB | 2022-10-14 16:16:46 |
perl-net-curl-promiser-doc-0.20-r0.apk | 11.4 KiB | 2024-02-05 16:12:54 |
dnstap-ldns-0.2.1-r0.apk | 11.4 KiB | 2024-03-08 15:55:15 |
py3-highctidh-pyc-1.0.2024050500-r0.apk | 11.4 KiB | 2024-05-06 00:45:39 |
asteroid-flashlight-2.0.0-r0.apk | 11.4 KiB | 2023-08-31 09:43:21 |
perl-test-file-1.993-r1.apk | 11.4 KiB | 2023-07-04 00:01:46 |
py3-protego-pyc-0.3.0-r1.apk | 11.4 KiB | 2024-04-15 21:52:00 |
extrace-0.9-r0.apk | 11.4 KiB | 2024-04-18 19:40:46 |
py3-virtualenvwrapper-pyc-6.1.0-r1.apk | 11.5 KiB | 2024-04-15 21:52:05 |
pastebinc-0.9.1-r2.apk | 11.5 KiB | 2022-11-02 08:48:45 |
py3-openapi-codec-pyc-1.3.2-r9.apk | 11.5 KiB | 2024-04-15 21:51:58 |
py3-riotctrl-0.5.0-r3.apk | 11.5 KiB | 2024-04-15 21:52:01 |
lumina-desktop-doc-1.6.2-r0.apk | 11.5 KiB | 2022-07-05 19:11:22 |
restart-services-0.17.0-r0.apk | 11.5 KiB | 2021-12-18 22:54:02 |
yamkix-pyc-0.10.0-r1.apk | 11.5 KiB | 2024-04-15 21:52:08 |
py3-simplesoapy-pyc-1.5.1-r6.apk | 11.5 KiB | 2024-04-15 21:52:02 |
lizardfs-doc-3.13.0-r13.apk | 11.5 KiB | 2024-04-22 18:58:30 |
perl-datetime-timezone-catalog-extend-0.3.1-r0.apk | 11.5 KiB | 2024-02-24 12:50:42 |
py3-itemloaders-1.2.0-r0.apk | 11.6 KiB | 2024-04-25 01:28:51 |
perl-ryu-async-doc-0.020-r0.apk | 11.6 KiB | 2024-01-15 21:00:53 |
py3-wifi-0.3.8-r6.apk | 11.6 KiB | 2024-04-15 21:52:05 |
java-jtharness-doc-6.0_p12-r0.apk | 11.6 KiB | 2022-10-05 19:12:20 |
perl-system-command-1.122-r0.apk | 11.6 KiB | 2024-02-05 16:10:07 |
libmygpo-qt-dev-1.1.0-r2.apk | 11.6 KiB | 2024-05-09 03:06:11 |
ocaml-calendar-doc-2.04-r4.apk | 11.6 KiB | 2024-03-24 02:11:55 |
minidyndns-1.3.0-r3.apk | 11.6 KiB | 2021-10-20 03:31:50 |
nuzzle-1.5-r0.apk | 11.6 KiB | 2023-12-08 13:14:48 |
php81-pecl-opentelemetry-1.0.3-r0.apk | 11.6 KiB | 2024-05-05 05:25:01 |
perl-test-roo-1.004-r3.apk | 11.7 KiB | 2023-07-04 00:01:46 |
py3-requests-kerberos-0.14.0-r4.apk | 11.7 KiB | 2024-04-15 21:52:01 |
xlhtml-0.5.1-r0.apk | 11.7 KiB | 2024-04-01 18:10:17 |
m2r2-0.3.3-r2.apk | 11.7 KiB | 2024-04-15 21:51:19 |
ovpncc-0.1_rc1-r0.apk | 11.7 KiB | 2023-03-13 12:19:14 |
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk | 11.7 KiB | 2024-04-15 21:52:03 |
py3-webvtt-0.4.6-r1.apk | 11.7 KiB | 2024-04-15 21:52:05 |
py3-git-versioner-7.1-r1.apk | 11.7 KiB | 2024-04-15 21:51:35 |
php81-tokenizer-8.1.28-r0.apk | 11.7 KiB | 2024-04-10 23:39:36 |
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk | 11.7 KiB | 2024-04-15 21:52:03 |
perl-net-mqtt-simple-1.29-r0.apk | 11.7 KiB | 2024-04-16 17:38:49 |
perl-promise-me-doc-0.4.11-r0.apk | 11.7 KiB | 2024-04-27 08:34:19 |
perl-class-accessor-grouped-0.10014-r2.apk | 11.8 KiB | 2023-07-04 00:01:45 |
pqiv-doc-2.12-r1.apk | 11.8 KiB | 2022-11-02 08:48:56 |
hsetroot-1.0.5-r1.apk | 11.8 KiB | 2022-11-02 08:47:22 |
py3-dkimpy-doc-1.1.6-r0.apk | 11.8 KiB | 2024-04-24 21:06:47 |
py3-pybars3-0.9.7-r5.apk | 11.8 KiB | 2024-04-15 21:52:00 |
time-1.9-r1.apk | 11.8 KiB | 2022-11-02 08:49:24 |
perl-promise-es6-doc-0.28-r0.apk | 11.8 KiB | 2024-01-19 00:19:06 |
ptylie-0.2-r1.apk | 11.8 KiB | 2022-11-02 08:48:58 |
perl-file-rename-doc-2.02-r0.apk | 11.8 KiB | 2024-01-01 12:23:01 |
tdrop-0.5.0-r0.apk | 11.8 KiB | 2022-05-05 20:56:48 |
perl-log-message-doc-0.08-r3.apk | 11.9 KiB | 2023-07-04 00:01:46 |
lomiri-history-service-dev-0.4-r1.apk | 11.9 KiB | 2024-04-25 23:31:31 |
perl-bytes-random-secure-doc-0.29-r0.apk | 11.9 KiB | 2024-01-15 21:00:53 |
asteroid-stopwatch-2.0.0-r0.apk | 11.9 KiB | 2023-08-31 09:43:21 |
udpcast-doc-20230924-r1.apk | 11.9 KiB | 2024-04-16 11:27:25 |
py3-asif-0.3.2-r2.apk | 11.9 KiB | 2024-04-15 21:51:28 |
belcard-dev-5.3.38-r0.apk | 11.9 KiB | 2024-04-13 17:05:19 |
termbox-1.1.2-r1.apk | 12.0 KiB | 2023-05-15 20:52:01 |
py3-incoming-0.3.1-r7.apk | 12.0 KiB | 2024-04-15 21:51:36 |
py3-wtf-peewee-3.0.5-r1.apk | 12.0 KiB | 2024-04-15 21:52:05 |
espeakup-0.90-r2.apk | 12.0 KiB | 2024-03-14 08:36:01 |
perl-datetime-format-flexible-doc-0.34-r0.apk | 12.0 KiB | 2024-01-14 15:56:32 |
perl-uri-db-0.22-r0.apk | 12.0 KiB | 2024-04-05 12:53:31 |
tillitis-key1-apps-doc-0.0.6-r6.apk | 12.0 KiB | 2024-04-07 00:51:21 |
rgxg-doc-0.1.2-r2.apk | 12.1 KiB | 2023-05-15 20:51:56 |
setroot-2.0.2-r1.apk | 12.1 KiB | 2022-11-02 08:49:09 |
meson-tools-0.1-r1.apk | 12.1 KiB | 2022-08-04 06:44:13 |
legume-doc-1.4.2-r1.apk | 12.1 KiB | 2024-04-07 00:49:11 |
rustic-fish-completion-0.6.1-r1.apk | 12.1 KiB | 2024-01-08 09:44:33 |
jdebp-redo-doc-1.4-r1.apk | 12.1 KiB | 2022-06-11 21:17:46 |
perl-daemon-control-0.001010-r2.apk | 12.1 KiB | 2023-07-04 00:01:45 |
vim-airline-doc-0.11-r0.apk | 12.1 KiB | 2021-05-30 14:23:19 |
py3-aiohttp-jinja2-1.6-r2.apk | 12.1 KiB | 2024-04-15 21:51:25 |
perl-anyevent-riperedis-0.48-r0.apk | 12.1 KiB | 2024-01-15 14:28:16 |
perl-xml-feed-doc-0.63-r0.apk | 12.1 KiB | 2024-01-14 15:56:32 |
enjoy-0.3-r1.apk | 12.2 KiB | 2023-02-03 03:59:05 |
ocaml-bigarray-compat-dev-1.1.0-r2.apk | 12.2 KiB | 2024-03-24 02:11:52 |
py3-rfc-bibtex-pyc-0.3.2-r6.apk | 12.2 KiB | 2024-04-15 21:52:01 |
mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk | 12.2 KiB | 2024-04-13 17:05:21 |
nlopt-dev-2.7.1-r0.apk | 12.2 KiB | 2022-05-06 09:53:00 |
soju-doc-0.7.0-r2.apk | 12.2 KiB | 2024-04-07 00:50:51 |
asteroid-timer-2.0.0-r0.apk | 12.2 KiB | 2023-08-31 09:43:21 |
py3-queuelib-1.6.2-r4.apk | 12.3 KiB | 2024-04-15 21:52:01 |
xosview-doc-1.24-r0.apk | 12.3 KiB | 2024-03-25 15:45:03 |
py3-sphinxcontrib-doxylink-1.12.2-r2.apk | 12.3 KiB | 2024-04-15 21:52:03 |
py3-cobs-pyc-1.2.0-r3.apk | 12.3 KiB | 2024-04-15 21:51:30 |
powersupply-0.9.0-r0.apk | 12.3 KiB | 2024-01-08 09:44:33 |
perl-barcode-zbar-doc-0.10-r2.apk | 12.3 KiB | 2023-07-04 00:01:45 |
nvimpager-0.12.0-r0.apk | 12.3 KiB | 2023-06-22 10:22:02 |
py3-libiio-0.25-r1.apk | 12.3 KiB | 2024-04-15 21:51:38 |
geonames-doc-0.3.1-r1.apk | 12.3 KiB | 2024-04-17 17:02:42 |
perl-json-path-doc-1.0.6-r0.apk | 12.3 KiB | 2024-04-27 08:34:19 |
py3-remind-0.18.0-r3.apk | 12.3 KiB | 2024-04-15 21:52:01 |
clatd-1.6-r0.apk | 12.3 KiB | 2023-04-17 23:02:44 |
rtptools-doc-1.22-r2.apk | 12.4 KiB | 2022-11-02 08:49:08 |
rlottie-doc-0.2_git20230831-r0.apk | 12.4 KiB | 2023-12-18 14:56:31 |
py3-telegram-0.18.0-r2.apk | 12.4 KiB | 2024-04-15 21:52:03 |
py3-venusian-pyc-3.1.0-r1.apk | 12.4 KiB | 2024-04-15 21:52:05 |
py3-crc16-0.1.1-r10.apk | 12.4 KiB | 2024-04-15 21:51:30 |
kubesplit-0.3.3-r1.apk | 12.4 KiB | 2024-04-15 21:51:05 |
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk | 12.4 KiB | 2024-04-18 13:59:36 |
perl-list-binarysearch-xs-0.09-r0.apk | 12.4 KiB | 2024-01-15 21:00:53 |
py3-pyscreeze-0.1.29-r2.apk | 12.5 KiB | 2024-04-15 21:52:00 |
py3-itemadapter-pyc-0.8.0-r2.apk | 12.5 KiB | 2024-04-15 21:51:36 |
py3-us-3.1.1-r1.apk | 12.5 KiB | 2024-04-15 21:52:05 |
perl-gtk2-ex-listmodelconcat-11-r4.apk | 12.5 KiB | 2023-07-04 00:01:46 |
catfish-doc-4.18.0-r2.apk | 12.5 KiB | 2024-04-15 21:50:55 |
py3-flask-cache-0.13.1-r9.apk | 12.5 KiB | 2024-04-15 21:51:35 |
py3-actdiag-3.0.0-r3.apk | 12.5 KiB | 2023-04-22 01:18:51 |
py3-bitstruct-pyc-8.19.0-r1.apk | 12.5 KiB | 2024-04-15 21:51:29 |
wlavu-0_git20201101-r1.apk | 12.5 KiB | 2022-11-02 08:49:38 |
mint-themes-doc-2.1.1-r0.apk | 12.6 KiB | 2023-06-12 15:01:21 |
perl-net-mqtt-1.163170-r0.apk | 12.6 KiB | 2024-04-16 17:38:49 |
imapfilter-doc-2.8.2-r0.apk | 12.6 KiB | 2023-12-31 19:40:32 |
utop-emacs-2.9.1-r4.apk | 12.6 KiB | 2024-04-04 10:21:27 |
glmark2-doc-2023.01-r0.apk | 12.6 KiB | 2023-05-18 21:55:28 |
libcyaml-dev-1.4.1-r2.apk | 12.6 KiB | 2024-01-11 21:56:38 |
rofi-blocks-0_git20210123-r0.apk | 12.6 KiB | 2022-02-01 18:27:25 |
planarity-doc-3.0.2.0-r2.apk | 12.6 KiB | 2023-08-01 12:38:05 |
octoprint-pisupport-pyc-2023.10.10-r0.apk | 12.6 KiB | 2024-04-16 00:40:05 |
harminv-libs-1.4.2-r1.apk | 12.6 KiB | 2023-10-08 17:25:58 |
perl-email-abstract-doc-3.010-r0.apk | 12.6 KiB | 2023-09-03 11:03:37 |
devil-dev-1.8.0-r0.apk | 12.6 KiB | 2023-09-12 10:21:59 |
fdm-doc-2.2-r0.apk | 12.6 KiB | 2023-03-19 05:17:18 |
perl-mixin-event-dispatch-doc-2.000-r0.apk | 12.7 KiB | 2024-01-03 18:24:45 |
ddserver-0_git20200930-r1.apk | 12.7 KiB | 2022-11-02 08:46:54 |
py3-telegram-text-pyc-0.2.0-r1.apk | 12.7 KiB | 2024-04-15 21:52:04 |
kubesplit-pyc-0.3.3-r1.apk | 12.7 KiB | 2024-04-15 21:51:05 |
py3-pam-pyc-2.0.2-r2.apk | 12.7 KiB | 2024-04-15 21:51:59 |
speedtest-examples-5.2.5-r1.apk | 12.7 KiB | 2023-09-04 12:02:35 |
libibmad-dev-1.3.13-r2.apk | 12.7 KiB | 2023-05-15 20:51:50 |
py3-hatch-openzim-pyc-0.2.0-r0.apk | 12.7 KiB | 2024-04-18 13:59:36 |
makeself-2.5.0-r0.apk | 12.7 KiB | 2023-06-09 21:42:58 |
php81-pdo_dblib-8.1.28-r0.apk | 12.7 KiB | 2024-04-10 23:39:35 |
libblobmsg-20230523-r0.apk | 12.8 KiB | 2023-08-06 19:59:31 |
lrcalc-2.1-r1.apk | 12.8 KiB | 2023-05-15 20:51:52 |
libhwpwm-doc-0.4.4-r0.apk | 12.8 KiB | 2023-09-29 15:19:20 |
py3-keepalive-pyc-0.5-r5.apk | 12.8 KiB | 2024-04-14 20:33:58 |
darts-clone-dev-0_git20181117-r0.apk | 12.8 KiB | 2022-01-27 01:59:35 |
py3-discid-pyc-1.2.0-r5.apk | 12.8 KiB | 2024-04-15 21:51:31 |
php81-pecl-xhprof-2.3.9-r1.apk | 12.8 KiB | 2024-04-10 23:39:35 |
vmtouch-1.3.1-r0.apk | 12.9 KiB | 2023-03-28 12:18:41 |
stubby-doc-0.4.3-r0.apk | 12.9 KiB | 2023-02-22 16:35:42 |
php81-pdo_odbc-8.1.28-r0.apk | 12.9 KiB | 2024-04-10 23:39:35 |
qt-wayland-shell-helpers-0.1.1-r3.apk | 12.9 KiB | 2023-04-26 15:56:13 |
py3-pydes-pyc-2.0.1-r4.apk | 12.9 KiB | 2024-04-14 20:33:58 |
apt-dater-lang-1.0.4-r3.apk | 12.9 KiB | 2023-04-29 21:47:13 |
mautrix-discord-doc-0.6.5-r3.apk | 12.9 KiB | 2024-05-07 20:17:29 |
mautrix-slack-doc-0_git20230925-r3.apk | 12.9 KiB | 2024-05-07 20:17:31 |
ocaml-bigarray-compat-1.1.0-r2.apk | 12.9 KiB | 2024-03-24 02:11:52 |
mautrix-gmessages-doc-0.4.0-r1.apk | 13.0 KiB | 2024-05-07 20:17:30 |
mautrix-meta-doc-0.3.0-r1.apk | 13.0 KiB | 2024-05-07 20:17:30 |
py3-pygfm-pyc-2.0.0-r1.apk | 13.0 KiB | 2024-04-15 21:52:00 |
ledmon-doc-0.97-r1.apk | 13.0 KiB | 2023-12-18 10:41:10 |
tree-sitter-git-commit-0_git20211225-r2.apk | 13.0 KiB | 2023-11-15 21:54:00 |
py3-firmata-1.0.3-r9.apk | 13.0 KiB | 2024-04-15 21:51:33 |
ip2location-dev-8.6.1-r0.apk | 13.0 KiB | 2023-06-06 19:00:07 |
mm-dev-1.4.2-r1.apk | 13.0 KiB | 2017-03-28 19:32:58 |
catcodec-1.0.5-r2.apk | 13.0 KiB | 2022-05-15 13:29:48 |
py3-janus-pyc-1.0.0-r3.apk | 13.0 KiB | 2024-04-15 15:00:19 |
perl-ev-hiredis-0.07-r0.apk | 13.1 KiB | 2024-01-17 12:17:26 |
startup-tools-2.0.3-r4.apk | 13.1 KiB | 2023-07-01 05:25:17 |
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk | 13.1 KiB | 2021-02-03 21:33:23 |
mpdcron-doc-0.3-r1.apk | 13.1 KiB | 2022-11-02 08:48:37 |
libiio-dev-0.25-r1.apk | 13.1 KiB | 2024-04-15 21:51:07 |
flux-bash-completion-2.2.3-r2.apk | 13.1 KiB | 2024-04-07 00:47:23 |
py3-wg-netns-pyc-2.3.1-r1.apk | 13.1 KiB | 2024-04-15 21:52:05 |
py3-flask-flatpages-pyc-0.8.2-r2.apk | 13.1 KiB | 2024-04-15 21:51:35 |
py3-i18naddress-pyc-3.1.0-r2.apk | 13.1 KiB | 2024-04-15 21:51:36 |
py3-pep8-naming-pyc-0.13.3-r2.apk | 13.2 KiB | 2024-04-15 21:51:59 |
perl-starman-0.4017-r0.apk | 13.2 KiB | 2023-09-14 10:34:36 |
py3-colored-1.4.4-r2.apk | 13.2 KiB | 2024-04-15 21:51:30 |
fcitx5-qt-lang-5.1.6-r0.apk | 13.2 KiB | 2024-05-10 03:39:43 |
barrier-doc-2.4.0-r1.apk | 13.2 KiB | 2022-08-04 12:03:21 |
php81-pdo_sqlite-8.1.28-r0.apk | 13.2 KiB | 2024-04-10 23:39:35 |
ocaml-mirage-net-4.0.0-r3.apk | 13.2 KiB | 2024-03-24 02:12:20 |
perl-net-jabber-bot-2.1.7-r0.apk | 13.2 KiB | 2024-01-12 13:03:55 |
asteroid-compass-2.0.0-r0.apk | 13.2 KiB | 2023-08-31 09:43:21 |
py3-iniparse-0.5-r6.apk | 13.3 KiB | 2024-04-15 21:51:36 |
py3-git-versioner-pyc-7.1-r1.apk | 13.3 KiB | 2024-04-15 21:51:35 |
tldr-python-client-pyc-3.2.0-r1.apk | 13.3 KiB | 2024-04-15 21:52:07 |
tcmu-runner-rbd-1.6.0-r5.apk | 13.3 KiB | 2023-09-01 08:03:19 |
py3-glob2-pyc-0.7-r5.apk | 13.3 KiB | 2023-04-24 09:29:08 |
junit2html-0.2.0-r3.apk | 13.3 KiB | 2024-04-15 21:51:03 |
turn-rs-doc-2.1.3-r0.apk | 13.3 KiB | 2024-04-18 14:04:17 |
py3-unidns-0.0.1-r2.apk | 13.3 KiB | 2024-04-15 21:52:05 |
libecap-1.0.1-r1.apk | 13.3 KiB | 2023-05-15 20:51:50 |
afetch-doc-2.2.0-r1.apk | 13.3 KiB | 2022-11-02 08:46:28 |
hikari-doc-2.3.3-r6.apk | 13.3 KiB | 2024-02-02 21:18:03 |
tuptime-5.2.2-r3.apk | 13.3 KiB | 2023-06-25 11:02:59 |
py3-pysrt-1.1.2-r3.apk | 13.3 KiB | 2024-04-15 21:52:00 |
mpdris2-rs-doc-0.2.3-r0.apk | 13.3 KiB | 2024-03-05 21:05:32 |
pipeline-doc-1.14.5-r0.apk | 13.3 KiB | 2024-02-24 12:27:07 |
py3-flask-migrate-4.0.5-r1.apk | 13.4 KiB | 2024-04-15 21:51:35 |
peg-doc-0.1.18-r1.apk | 13.4 KiB | 2022-11-02 08:48:45 |
please-doc-0.4.2-r2.apk | 13.4 KiB | 2023-05-24 07:48:21 |
perl-cpan-changes-0.500003-r0.apk | 13.4 KiB | 2024-03-14 08:38:49 |
wbg-1.1.0-r0.apk | 13.4 KiB | 2022-11-02 08:49:38 |
wabt-doc-1.0.34-r0.apk | 13.5 KiB | 2023-10-30 23:12:00 |
steghide-doc-0.5.1.1-r0.apk | 13.5 KiB | 2024-04-14 08:20:53 |
ocaml-libvirt-doc-0.6.1.7-r0.apk | 13.5 KiB | 2024-03-24 02:12:17 |
mcman-doc-0.4.4-r0.apk | 13.5 KiB | 2024-02-08 19:37:32 |
php81-pcntl-8.1.28-r0.apk | 13.5 KiB | 2024-04-10 23:39:35 |
py3-wifi-pyc-0.3.8-r6.apk | 13.5 KiB | 2024-04-15 21:52:05 |
py3-codecov-2.1.13-r2.apk | 13.5 KiB | 2024-04-15 21:51:30 |
aide-doc-0.18.7-r0.apk | 13.5 KiB | 2024-05-08 07:17:51 |
tere-doc-1.5.1-r0.apk | 13.5 KiB | 2023-08-26 16:39:35 |
py3-snapshottest-0.6.0-r4.apk | 13.5 KiB | 2024-04-19 14:28:12 |
php81-calendar-8.1.28-r0.apk | 13.6 KiB | 2024-04-10 23:39:34 |
tpp-bypass-0.8.4-r0.apk | 13.6 KiB | 2022-10-12 19:47:53 |
perl-net-netmask-2.0002-r2.apk | 13.6 KiB | 2024-01-08 09:44:32 |
php81-xsl-8.1.28-r0.apk | 13.6 KiB | 2024-04-10 23:39:36 |
php81-pdo_mysql-8.1.28-r0.apk | 13.6 KiB | 2024-04-10 23:39:35 |
mp3val-0.1.8-r1.apk | 13.6 KiB | 2022-10-14 16:16:43 |
asteroid-hrm-2.0.0-r0.apk | 13.6 KiB | 2023-08-31 09:43:21 |
perl-xml-feed-0.63-r0.apk | 13.6 KiB | 2024-01-14 15:56:32 |
py3-daiquiri-3.2.5.1-r1.apk | 13.6 KiB | 2024-04-15 21:51:31 |
perl-database-async-engine-postgresql-1.004-r0.apk | 13.6 KiB | 2024-01-17 12:12:35 |
py3-sortedcollections-pyc-2.1.0-r4.apk | 13.6 KiB | 2024-04-15 21:52:02 |
py3-uritools-pyc-4.0.2-r1.apk | 13.6 KiB | 2024-04-15 21:52:05 |
py3-venusian-3.1.0-r1.apk | 13.6 KiB | 2024-04-15 21:52:05 |
uclient-20210514-r0.apk | 13.6 KiB | 2022-06-09 01:01:00 |
py3-pprintpp-0.4.0-r1.apk | 13.6 KiB | 2024-04-15 21:52:00 |
xfd-1.1.4-r0.apk | 13.7 KiB | 2022-12-04 09:17:20 |
perl-cairo-doc-1.109-r3.apk | 13.7 KiB | 2023-07-04 00:01:45 |
py3-ovos-tts-plugin-mimic3-server-0.0.2_alpha4-r1.apk | 13.7 KiB | 2024-04-15 21:51:59 |
py3-halo-pyc-0.0.31-r4.apk | 13.7 KiB | 2024-04-15 21:51:35 |
py3-euclid3-0.01-r7.apk | 13.7 KiB | 2024-04-15 21:51:33 |
ocaml-mirage-time-3.0.0-r4.apk | 13.7 KiB | 2024-03-24 02:12:20 |
perl-graphql-client-doc-0.605-r0.apk | 13.7 KiB | 2024-01-18 23:48:19 |
py3-bite-parser-0.2.4-r1.apk | 13.7 KiB | 2024-04-15 21:51:29 |
perl-snmp-doc-5.0404-r12.apk | 13.8 KiB | 2023-07-04 00:01:46 |
py3-flake8-builtins-2.1.0-r3.apk | 13.8 KiB | 2024-04-15 21:51:33 |
faultstat-0.01.11-r0.apk | 13.8 KiB | 2024-01-13 12:40:33 |
gammastep-doc-2.0.9-r3.apk | 13.8 KiB | 2024-04-15 21:50:56 |
qdjango-dev-0.6.2-r0.apk | 13.8 KiB | 2023-11-04 16:55:09 |
py3-django-compress-staticfiles-1.0.1_beta0-r4.apk | 13.8 KiB | 2024-04-15 21:51:31 |
cddlib-dev-0.94m-r2.apk | 13.8 KiB | 2023-08-01 12:37:56 |
lsdvd-0.17-r0.apk | 13.8 KiB | 2023-01-31 20:08:15 |
php81-tideways_xhprof-5.0.4-r1.apk | 13.8 KiB | 2022-05-10 20:34:22 |
autotrash-pyc-0.4.6-r1.apk | 13.8 KiB | 2024-04-13 17:05:19 |
tmux-resurrect-4.0.0-r0.apk | 13.9 KiB | 2022-09-21 08:37:18 |
rtmidi-dev-6.0.0-r0.apk | 13.9 KiB | 2023-08-07 09:02:02 |
subdl-pyc-0_git20230616-r1.apk | 13.9 KiB | 2024-04-15 21:52:07 |
fcitx5-unikey-lang-5.1.4-r0.apk | 13.9 KiB | 2024-05-10 03:39:49 |
biometryd-dev-0.3.1-r0.apk | 13.9 KiB | 2024-02-06 23:41:36 |
mspdebug-doc-0.25-r1.apk | 14.0 KiB | 2022-11-02 08:48:37 |
toss-1.1-r0.apk | 14.0 KiB | 2022-02-27 20:45:21 |
xva-img-1.4.2-r1.apk | 14.0 KiB | 2022-08-04 06:49:00 |
yamkix-0.10.0-r1.apk | 14.0 KiB | 2024-04-15 21:52:08 |
py3-typing_inspect-pyc-0.9.0-r2.apk | 14.0 KiB | 2024-04-15 21:52:04 |
py3-pyscreeze-pyc-0.1.29-r2.apk | 14.0 KiB | 2024-04-15 21:52:00 |
dasht-2.4.0-r0.apk | 14.0 KiB | 2023-12-24 03:12:55 |
watchdog-doc-5.16-r1.apk | 14.0 KiB | 2023-03-13 09:12:32 |
py3-click-completion-pyc-0.5.2-r1.apk | 14.0 KiB | 2024-04-15 21:51:30 |
py3-sphinx-argparse-0.4.0-r3.apk | 14.0 KiB | 2024-04-15 21:52:02 |
py3-ovos-tts-plugin-mimic3-server-pyc-0.0.2_alpha4-r1.apk | 14.0 KiB | 2024-04-15 21:51:59 |
py3-downloader-cli-pyc-0.3.4-r1.apk | 14.0 KiB | 2024-04-15 21:51:32 |
py3-dataclasses-serialization-pyc-1.3.1-r3.apk | 14.0 KiB | 2024-04-15 21:51:31 |
lottieconverter-0.2_git20231219-r0.apk | 14.0 KiB | 2023-12-19 22:23:44 |
gmid-doc-2.0.2-r0.apk | 14.0 KiB | 2024-04-05 23:22:48 |
openslide-tools-3.4.1-r3.apk | 14.0 KiB | 2023-08-01 12:38:05 |
perl-bytes-random-secure-0.29-r0.apk | 14.1 KiB | 2024-01-15 21:00:53 |
xed-dev-3.4.5-r0.apk | 14.1 KiB | 2024-01-08 09:44:44 |
py3-urlobject-2.4.3-r8.apk | 14.1 KiB | 2024-04-15 21:52:05 |
wmctrl-1.07-r1.apk | 14.1 KiB | 2022-02-18 14:45:03 |
mdnsd-doc-0.12-r1.apk | 14.1 KiB | 2023-05-15 20:51:52 |
py3-spidev-3.6-r0.apk | 14.1 KiB | 2022-12-08 23:13:16 |
php81-xmlreader-8.1.28-r0.apk | 14.1 KiB | 2024-04-10 23:39:36 |
dnsfunnel-0.0.1.6-r0.apk | 14.1 KiB | 2023-11-06 21:51:16 |
sqlar-0_git20180107-r1.apk | 14.1 KiB | 2022-11-02 08:49:16 |
listenbrainz-mpd-doc-2.3.5-r0.apk | 14.1 KiB | 2024-04-24 06:11:43 |
ssss-0.5.7-r0.apk | 14.2 KiB | 2021-10-29 07:28:14 |
mangr0ve-doc-0.1.2-r0.apk | 14.2 KiB | 2024-01-29 02:19:55 |
perl-syntax-keyword-match-0.14-r0.apk | 14.2 KiB | 2024-05-01 12:53:21 |
squashfs-tools-ng-doc-1.3.1-r0.apk | 14.2 KiB | 2024-05-03 04:48:41 |
py3-editdistance-s-1.0.0-r5.apk | 14.2 KiB | 2024-04-15 21:51:33 |
py3-evohome-client-0.3.7-r3.apk | 14.3 KiB | 2024-04-15 21:51:33 |
php81-pecl-memprof-3.0.2-r0.apk | 14.3 KiB | 2022-01-08 02:49:32 |
mm-doc-1.4.2-r1.apk | 14.3 KiB | 2017-03-28 19:32:58 |
apk-autoupdate-0_git20210421-r0.apk | 14.3 KiB | 2022-01-15 23:12:15 |
perl-test-files-doc-0.26-r0.apk | 14.3 KiB | 2024-03-09 06:50:30 |
perl-test-modern-0.013-r3.apk | 14.4 KiB | 2023-07-04 00:01:46 |
emacs-fossil-0_git20230504-r0.apk | 14.4 KiB | 2024-05-05 06:28:21 |
termbox-static-1.1.2-r1.apk | 14.4 KiB | 2023-05-15 20:52:01 |
usbguard-doc-1.1.2-r8.apk | 14.4 KiB | 2024-01-03 19:29:59 |
py3-fuzzywuzzy-pyc-0.18.0-r6.apk | 14.4 KiB | 2024-04-13 17:05:21 |
py3-pockethernet-0.7.0-r3.apk | 14.4 KiB | 2024-04-15 21:52:00 |
qmk-cli-1.1.5-r1.apk | 14.5 KiB | 2024-04-15 21:52:05 |
py3-proxmoxer-2.0.1-r3.apk | 14.5 KiB | 2024-04-15 21:52:00 |
ocaml-randomconv-0.1.3-r2.apk | 14.5 KiB | 2024-03-24 02:12:36 |
asteroid-music-2.0.0-r0.apk | 14.5 KiB | 2023-08-31 09:43:21 |
par-1.53.0-r1.apk | 14.5 KiB | 2022-11-02 08:48:45 |
ideviceinstaller-1.1.1-r3.apk | 14.5 KiB | 2023-05-15 02:29:48 |
git-secret-0.5.0-r0.apk | 14.5 KiB | 2022-11-02 08:47:12 |
lrzsz-doc-0.12.20-r2.apk | 14.5 KiB | 2022-11-02 08:48:21 |
py3-itunespy-pyc-1.6-r3.apk | 14.5 KiB | 2024-04-15 21:51:36 |
libhx-dev-4.21-r0.apk | 14.5 KiB | 2023-12-23 02:34:43 |
tree-sitter-just-0_git20230318-r0.apk | 14.6 KiB | 2023-12-23 01:35:03 |
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk | 14.6 KiB | 2023-07-04 00:01:45 |
libcorkipset-1.1.1-r4.apk | 14.6 KiB | 2023-10-30 10:34:43 |
perl-number-tolerant-1.710-r0.apk | 14.6 KiB | 2023-08-10 11:44:10 |
lemonbar-1.4-r1.apk | 14.6 KiB | 2022-11-02 08:47:57 |
slurm-0.4.4-r0.apk | 14.6 KiB | 2022-05-02 00:14:55 |
sopwith-doc-2.5.0-r0.apk | 14.6 KiB | 2024-05-05 20:23:59 |
b2sum-20190729-r2.apk | 14.6 KiB | 2022-11-02 08:46:34 |
py3-srt-pyc-3.5.3-r1.apk | 14.6 KiB | 2024-04-15 21:52:03 |
belr-dev-5.3.38-r0.apk | 14.6 KiB | 2024-04-13 17:05:19 |
wshowkeys-1.0-r0.apk | 14.6 KiB | 2022-02-20 16:32:46 |
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r4.apk | 14.7 KiB | 2024-04-15 21:51:31 |
libbraiding-dev-1.2-r2.apk | 14.7 KiB | 2023-08-01 12:38:02 |
py3-aiosasl-0.5.0-r3.apk | 14.7 KiB | 2024-04-15 21:51:25 |
py3-us-pyc-3.1.1-r1.apk | 14.7 KiB | 2024-04-15 21:52:05 |
ocaml-hkdf-1.0.4-r2.apk | 14.7 KiB | 2024-03-24 02:12:10 |
py3-pygtail-0.14.0-r3.apk | 14.7 KiB | 2024-04-15 21:52:00 |
vile-doc-9.8z-r0.apk | 14.7 KiB | 2024-01-26 02:26:59 |
plattenalbum-lang-2.1.0-r0.apk | 14.7 KiB | 2024-05-07 19:58:26 |
py3-itemloaders-pyc-1.2.0-r0.apk | 14.7 KiB | 2024-04-25 01:28:51 |
time-doc-1.9-r1.apk | 14.8 KiB | 2022-11-02 08:49:24 |
cmusfm-0.5.0-r0.apk | 14.8 KiB | 2023-07-21 21:08:56 |
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk | 14.8 KiB | 2024-04-15 21:52:03 |
perl-datetime-timezone-catalog-extend-doc-0.3.1-r0.apk | 14.8 KiB | 2024-02-24 12:50:42 |
xdg-user-dirs-gtk-0.11-r2.apk | 14.8 KiB | 2023-10-31 06:57:49 |
tpm2-tools-bash-completion-5.6-r0.apk | 14.8 KiB | 2024-02-01 01:07:42 |
perl-getopt-long-descriptive-0.114-r0.apk | 14.8 KiB | 2024-01-27 21:42:21 |
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk | 14.8 KiB | 2024-04-15 21:52:03 |
py3-aiohttp-session-pyc-2.12.0-r3.apk | 14.8 KiB | 2024-04-15 21:51:25 |
commoncpp-doc-7.0.1-r1.apk | 14.8 KiB | 2022-08-04 06:40:51 |
php81-pecl-mcrypt-1.0.7-r0.apk | 14.8 KiB | 2024-04-10 23:39:35 |
mpdris2-doc-0.9.1-r3.apk | 14.9 KiB | 2022-07-27 23:56:33 |
ocaml-seq-0.3.1-r2.apk | 14.9 KiB | 2024-03-24 02:12:49 |
luksmeta-9-r0.apk | 14.9 KiB | 2022-06-17 11:58:24 |
py3-intervals-pyc-0.9.2-r4.apk | 14.9 KiB | 2024-04-15 21:51:36 |
fatresize-doc-1.1.0-r1.apk | 14.9 KiB | 2022-11-02 08:47:07 |
kerberoast-pyc-0.2.0-r1.apk | 14.9 KiB | 2024-04-15 21:51:03 |
sandbar-0.1-r0.apk | 15.0 KiB | 2023-06-30 20:42:17 |
xa-doc-2.3.14-r0.apk | 15.0 KiB | 2023-03-18 14:09:46 |
perl-number-format-1.76-r1.apk | 15.0 KiB | 2023-07-04 00:01:46 |
moderncli-dev-0.8.1-r0.apk | 15.0 KiB | 2024-02-13 21:03:31 |
perl-dns-unbound-doc-0.29-r0.apk | 15.0 KiB | 2024-04-16 17:38:49 |
perl-glib-ex-objectbits-16-r5.apk | 15.0 KiB | 2023-07-04 00:01:46 |
readosm-1.1.0-r2.apk | 15.0 KiB | 2021-09-27 22:25:17 |
perl-dancer-plugin-auth-extensible-1.00-r5.apk | 15.0 KiB | 2023-07-04 00:01:45 |
gtk4-layer-shell-1.0.2-r0.apk | 15.0 KiB | 2023-11-10 23:00:52 |
rinetd-0.73-r0.apk | 15.1 KiB | 2023-12-09 22:48:28 |
libdatrie-libs-0.2.13-r0.apk | 15.1 KiB | 2022-01-27 00:31:20 |
py3-sphinxcontrib-spelling-8.0.0-r3.apk | 15.1 KiB | 2024-04-15 21:52:03 |
rgxg-0.1.2-r2.apk | 15.1 KiB | 2023-05-15 20:51:56 |
virter-doc-0.27.0-r0.apk | 15.1 KiB | 2024-05-03 22:48:54 |
py3-flake8-import-order-0.18.2-r4.apk | 15.1 KiB | 2024-04-15 21:51:33 |
py3-teletype-1.3.4-r3.apk | 15.2 KiB | 2024-04-15 21:52:04 |
mpdris2-0.9.1-r3.apk | 15.2 KiB | 2022-07-27 23:56:33 |
py3-pymeta3-0.5.1-r5.apk | 15.2 KiB | 2024-04-15 21:52:00 |
mcqd-1.0.0-r1.apk | 15.2 KiB | 2023-08-01 12:38:04 |
perl-test-roo-doc-1.004-r3.apk | 15.2 KiB | 2023-07-04 00:01:46 |
xcompmgr-1.1.9-r0.apk | 15.2 KiB | 2022-11-12 20:48:36 |
py3-rabbit-pyc-1.1.0-r7.apk | 15.2 KiB | 2024-04-15 21:52:01 |
emacs-hackernews-0.7.0-r0.apk | 15.3 KiB | 2024-04-02 07:53:25 |
blip-0.10-r0.apk | 15.3 KiB | 2019-06-14 17:31:10 |
py3-ecos-2.0.11-r3.apk | 15.3 KiB | 2024-04-15 21:51:33 |
py3-zope-i18nmessageid-6.1.0-r1.apk | 15.3 KiB | 2024-04-15 21:52:05 |
hx-1.0.14-r0.apk | 15.3 KiB | 2023-11-04 10:25:32 |
libibumad-1.3.10.2-r3.apk | 15.4 KiB | 2023-05-15 20:51:50 |
m2r2-pyc-0.3.3-r2.apk | 15.4 KiB | 2024-04-15 21:51:19 |
distrobuilder-doc-2.1-r16.apk | 15.4 KiB | 2024-04-07 00:46:47 |
perl-future-http-doc-0.17-r0.apk | 15.4 KiB | 2024-04-13 17:05:21 |
epr-2.4.15-r1.apk | 15.4 KiB | 2024-04-15 21:50:55 |
blackbox-1.20220610-r0.apk | 15.4 KiB | 2022-12-22 18:05:58 |
perl-archive-extract-0.88-r1.apk | 15.5 KiB | 2023-07-04 00:01:45 |
py3-dpath-2.1.6-r1.apk | 15.5 KiB | 2024-04-15 21:51:32 |
perl-net-pcap-doc-0.21-r0.apk | 15.5 KiB | 2024-04-16 17:38:49 |
zfsbootmenu-doc-2.3.0-r1.apk | 15.5 KiB | 2024-05-07 22:00:25 |
randrctl-1.9.0-r5.apk | 15.5 KiB | 2024-04-15 21:52:06 |
ocaml-fileutils-doc-0.6.4-r2.apk | 15.5 KiB | 2024-03-24 02:12:08 |
ampy-1.1.0-r5.apk | 15.5 KiB | 2024-04-13 17:05:19 |
py3-parver-0.5-r1.apk | 15.5 KiB | 2024-04-15 14:28:21 |
fzy-1.0-r3.apk | 15.6 KiB | 2022-11-02 08:47:11 |
visidata-doc-2.11.1-r2.apk | 15.6 KiB | 2024-04-15 21:52:08 |
nkk-0_git20221010-r0.apk | 15.6 KiB | 2023-02-23 19:40:03 |
py3-sphinxcontrib-programoutput-0.17-r4.apk | 15.6 KiB | 2024-04-15 21:52:03 |
perl-xml-atom-doc-0.43-r0.apk | 15.7 KiB | 2024-01-14 15:56:32 |
py3-recurring-ical-events-pyc-2.2.1-r1.apk | 15.7 KiB | 2024-04-15 21:52:01 |
perl-mojo-sqlite-3.009-r0.apk | 15.7 KiB | 2024-01-12 13:03:55 |
py3-rpio-pyc-0.10.1-r7.apk | 15.7 KiB | 2024-04-15 21:52:01 |
coccinelle-doc-1.1.1-r2.apk | 15.7 KiB | 2024-04-18 11:10:44 |
sacc-1.07-r0.apk | 15.7 KiB | 2023-11-02 11:01:57 |
php83-pecl-vld-0.18.0-r1.apk | 15.7 KiB | 2024-02-02 23:57:23 |
aravis-viewer-lang-0.8.31-r0.apk | 15.7 KiB | 2024-03-16 13:29:14 |
py3-testresources-2.0.1-r5.apk | 15.7 KiB | 2023-04-26 15:56:03 |
wok-lang-3.0.0-r6.apk | 15.8 KiB | 2024-04-15 21:52:08 |
pfetch-0.6.0-r1.apk | 15.8 KiB | 2022-11-02 08:48:45 |
py3-ajsonrpc-1.2.0-r3.apk | 15.8 KiB | 2024-04-15 21:51:25 |
asteroid-calculator-2.0.0-r0.apk | 15.8 KiB | 2023-08-31 09:43:21 |
zile-doc-2.6.2-r0.apk | 15.8 KiB | 2022-09-20 00:56:23 |
fatback-doc-1.3-r2.apk | 15.8 KiB | 2022-11-02 08:47:07 |
py3-discogs-client-2.7-r2.apk | 15.8 KiB | 2024-04-15 21:51:31 |
zsh-fzf-tab-0_git20220331-r0.apk | 15.9 KiB | 2022-05-27 16:35:19 |
plzip-doc-1.11-r0.apk | 15.9 KiB | 2024-01-25 16:34:42 |
ubuntu-archive-keyring-2023.11.28.1-r0.apk | 15.9 KiB | 2023-11-29 08:19:23 |
perl-json-path-1.0.6-r0.apk | 15.9 KiB | 2024-04-27 08:34:19 |
hexdiff-0.0.53-r2.apk | 15.9 KiB | 2022-11-02 08:47:22 |
bwrap-oci-0.2-r1.apk | 15.9 KiB | 2022-11-02 08:46:38 |
py3-u-msgpack-pyc-2.8.0-r1.apk | 16.0 KiB | 2024-04-17 02:55:21 |
py3-pprintpp-pyc-0.4.0-r1.apk | 16.0 KiB | 2024-04-15 21:52:00 |
py3-flask-mailman-1.0.0-r1.apk | 16.0 KiB | 2024-04-15 21:51:35 |
py3-ciso8601-2.3.1-r1.apk | 16.1 KiB | 2024-04-15 21:51:30 |
py3-barcodenumber-0.2.1-r10.apk | 16.1 KiB | 2024-04-15 21:51:29 |
ovos-audio-0.0.2_alpha13-r0.apk | 16.1 KiB | 2024-03-29 11:24:21 |
py3-businesstime-pyc-0.3.0-r9.apk | 16.1 KiB | 2024-04-15 21:51:29 |
perl-git-repository-1.325-r0.apk | 16.1 KiB | 2024-02-05 16:10:06 |
py3-preggy-1.4.4-r4.apk | 16.1 KiB | 2024-04-15 21:52:00 |
pegasus-frontend-doc-13_alpha-r2.apk | 16.1 KiB | 2023-05-05 04:34:52 |
libsimpleble-c-0.6.1-r1.apk | 16.1 KiB | 2023-07-29 20:02:51 |
py3-simber-pyc-0.2.6-r3.apk | 16.1 KiB | 2024-04-15 21:52:02 |
opensmtpd-filter-dkimsign-0.6-r1.apk | 16.2 KiB | 2022-08-04 12:09:32 |
rinetd-doc-0.73-r0.apk | 16.2 KiB | 2023-12-09 22:48:28 |
libimobiledevice-glue-1.0.0-r1.apk | 16.2 KiB | 2023-07-29 20:02:51 |
gpscorrelate-lang-2.0_git20230605-r0.apk | 16.2 KiB | 2023-06-15 06:35:22 |
py3-sphinxcontrib-doxylink-pyc-1.12.2-r2.apk | 16.2 KiB | 2024-04-15 21:52:03 |
py3-truststore-0.8.0-r1.apk | 16.2 KiB | 2024-04-15 21:52:04 |
libcorkipset-tools-1.1.1-r4.apk | 16.2 KiB | 2023-10-30 10:34:43 |
py3-aiosasl-doc-0.5.0-r3.apk | 16.2 KiB | 2024-04-15 21:51:25 |
py3-imageio-ffmpeg-0.4.9-r0.apk | 16.3 KiB | 2023-10-05 22:34:00 |
wf-config-dev-0.8.0-r0.apk | 16.3 KiB | 2023-11-25 23:42:14 |
perl-mojo-pg-4.27-r0.apk | 16.3 KiB | 2024-01-17 12:13:17 |
libvisio2svg-0.5.5-r3.apk | 16.3 KiB | 2023-04-29 21:47:16 |
py3-vdf-pyc-3.4-r1.apk | 16.3 KiB | 2024-04-15 21:52:05 |
belcard-5.3.38-r0.apk | 16.3 KiB | 2024-04-13 17:05:19 |
py3-pymaging-0.0.20130908-r9.apk | 16.3 KiB | 2024-04-15 21:52:00 |
py3-pylru-1.2.1-r1.apk | 16.3 KiB | 2024-04-15 21:52:00 |
startup-lang-2.0.3-r4.apk | 16.3 KiB | 2023-07-01 05:25:17 |
py3-testresources-pyc-2.0.1-r5.apk | 16.4 KiB | 2023-04-26 15:56:03 |
py3-funcparserlib-1.0.1-r4.apk | 16.4 KiB | 2024-04-15 21:51:35 |
tang-14-r0.apk | 16.4 KiB | 2023-07-23 13:56:46 |
ocaml-trie-1.0.0-r2.apk | 16.4 KiB | 2024-03-24 02:12:51 |
geomyidae-0.34-r2.apk | 16.4 KiB | 2022-11-02 08:47:12 |
py3-wsgiprox-1.5.2-r0.apk | 16.4 KiB | 2023-10-28 23:53:47 |
py3-soapy_power-1.6.1-r4.apk | 16.5 KiB | 2024-04-15 21:52:02 |
bcnm-dev-0.0.1.7-r0.apk | 16.5 KiB | 2023-11-06 21:51:16 |
perl-getopt-tabular-doc-0.3-r4.apk | 16.5 KiB | 2023-07-04 00:01:46 |
py3-feedgenerator-2.1.0-r1.apk | 16.5 KiB | 2024-04-15 21:51:33 |
perl-adapter-async-doc-0.019-r0.apk | 16.5 KiB | 2024-01-17 12:12:35 |
py3-flake8-import-order-pyc-0.18.2-r4.apk | 16.5 KiB | 2024-04-15 21:51:33 |
perl-protocol-xmpp-0.006-r0.apk | 16.5 KiB | 2024-01-16 19:22:12 |
perl-indirect-0.39-r0.apk | 16.5 KiB | 2024-01-15 21:00:53 |
py3-aioopenssl-0.6.0-r3.apk | 16.5 KiB | 2024-04-15 21:51:25 |
py3-libacl-0.7.0-r1.apk | 16.5 KiB | 2024-04-15 21:51:38 |
py3-sphinxcontrib-phpdomain-pyc-0.11.2-r1.apk | 16.5 KiB | 2024-04-15 21:52:03 |
php81-pecl-vips-1.0.13-r0.apk | 16.6 KiB | 2024-04-10 23:39:35 |
py3-pybars3-pyc-0.9.7-r5.apk | 16.6 KiB | 2024-04-15 21:52:00 |
dvdbackup-0.4.2-r1.apk | 16.6 KiB | 2022-10-14 16:16:26 |
gammastep-pyc-2.0.9-r3.apk | 16.6 KiB | 2024-04-15 21:50:56 |
xmppipe-0.16.0-r1.apk | 16.6 KiB | 2024-02-01 20:54:28 |
py3-colored-pyc-1.4.4-r2.apk | 16.7 KiB | 2024-04-15 21:51:30 |
ocp-indent-doc-1.8.2-r2.apk | 16.7 KiB | 2024-03-24 02:12:55 |
paperkey-1.6-r2.apk | 16.8 KiB | 2023-12-19 15:01:39 |
git-secret-doc-0.5.0-r0.apk | 16.8 KiB | 2022-11-02 08:47:12 |
diceware-pyc-0.10-r1.apk | 16.8 KiB | 2024-04-15 21:50:55 |
xiccd-0.3.0_git20211219-r1.apk | 16.8 KiB | 2022-06-16 15:51:44 |
py3-bencode-4.0.0-r1.apk | 16.8 KiB | 2024-04-15 21:51:29 |
py3-svgpath-6.3-r2.apk | 16.8 KiB | 2024-04-15 21:52:03 |
pam_mount-doc-2.20-r0.apk | 16.8 KiB | 2023-12-23 02:34:43 |
phonon-backend-vlc-lang-0.12.0-r0.apk | 16.8 KiB | 2023-11-02 15:06:46 |
linuxptp-timemaster-4.2-r0.apk | 16.9 KiB | 2023-12-20 18:54:36 |
gsimplecal-2.5.1-r0.apk | 16.9 KiB | 2024-02-10 01:12:50 |
hping3-doc-20051105-r4.apk | 16.9 KiB | 2017-07-13 14:27:19 |
py3-sphinxcontrib-git-11.0.0-r7.apk | 16.9 KiB | 2024-04-15 21:52:03 |
py3-agithub-2.2.2-r5.apk | 16.9 KiB | 2024-04-15 21:51:25 |
bcnm-0.0.1.7-r0.apk | 16.9 KiB | 2023-11-06 21:51:16 |
py3-orderedmultidict-pyc-1.0.1-r6.apk | 17.0 KiB | 2024-04-15 21:51:58 |
arc-metacity-20221218-r0.apk | 17.0 KiB | 2023-01-07 13:34:32 |
dfl-login1-0.2.0-r0.apk | 17.0 KiB | 2023-12-30 13:27:24 |
py3-lzo-1.16-r1.apk | 17.0 KiB | 2024-04-15 21:51:54 |
zarchive-0.1.2-r2.apk | 17.0 KiB | 2023-08-07 20:54:23 |
py3-daemon-2.3.2-r3.apk | 17.0 KiB | 2024-04-15 21:51:31 |
snapraid-doc-12.3-r0.apk | 17.0 KiB | 2024-01-26 02:25:54 |
arc-lighter-metacity-20221218-r0.apk | 17.0 KiB | 2023-01-07 13:34:32 |
xmag-1.0.7-r1.apk | 17.0 KiB | 2023-08-01 12:38:08 |
alarmwakeup-dbg-0.2.1-r0.apk | 17.0 KiB | 2023-10-06 06:01:43 |
emacs-taxy-magit-section-0.13-r0.apk | 17.1 KiB | 2024-04-02 07:53:26 |
aptdec-libs-1.8.0-r0.apk | 17.1 KiB | 2023-02-22 11:32:57 |
hyx-2021.06.09-r0.apk | 17.1 KiB | 2023-01-17 18:52:52 |
logc-argp-0.5.0-r0.apk | 17.1 KiB | 2023-11-18 17:33:12 |
xvidtune-1.0.4-r0.apk | 17.1 KiB | 2023-02-05 00:37:54 |
py3-tls_parser-pyc-2.0.1-r1.apk | 17.2 KiB | 2024-04-15 21:52:04 |
cvs-fast-export-doc-1.65-r0.apk | 17.2 KiB | 2024-02-16 19:34:14 |
repo-2.42-r0.apk | 17.2 KiB | 2024-03-04 23:18:57 |
twemproxy-doc-0.5.0-r0.apk | 17.2 KiB | 2022-04-30 08:20:58 |
arc-dark-metacity-20221218-r0.apk | 17.2 KiB | 2023-01-07 13:34:32 |
git-bug-doc-0.8.0-r11.apk | 17.2 KiB | 2024-04-07 00:47:35 |
arc-darker-metacity-20221218-r0.apk | 17.2 KiB | 2023-01-07 13:34:32 |
xfce4-diskperf-plugin-2.7.0-r0.apk | 17.2 KiB | 2023-08-03 05:00:26 |
php81-pecl-psr-1.2.0-r0.apk | 17.2 KiB | 2024-04-10 23:39:35 |
wlclock-1.0.1-r0.apk | 17.3 KiB | 2021-12-31 00:27:21 |
py3-recommonmark-pyc-0.7.1-r3.apk | 17.3 KiB | 2024-04-15 21:52:01 |
openscap-daemon-doc-0.1.10-r9.apk | 17.3 KiB | 2024-04-15 21:51:23 |
libeantic-dev-2.0.2-r1.apk | 17.3 KiB | 2024-04-22 18:58:15 |
php82-pecl-vld-0.18.0-r0.apk | 17.3 KiB | 2022-09-17 03:35:24 |
py3-pyrebase-pyc-3.0.27-r4.apk | 17.3 KiB | 2024-04-15 21:52:00 |
hiawatha-letsencrypt-11.5-r0.apk | 17.3 KiB | 2024-04-13 17:05:20 |
tkts-2.0-r0.apk | 17.4 KiB | 2023-12-02 12:06:01 |
roswell-doc-22.12.14.113-r0.apk | 17.4 KiB | 2023-02-03 06:36:54 |
py3-daiquiri-pyc-3.2.5.1-r1.apk | 17.4 KiB | 2024-04-15 21:51:31 |
py3-ansi2html-1.9.1-r1.apk | 17.4 KiB | 2024-04-15 21:51:26 |
perl-xml-stream-doc-1.24-r0.apk | 17.4 KiB | 2024-01-03 18:44:55 |
py3-schema-0.7.5-r3.apk | 17.4 KiB | 2024-04-15 21:52:01 |
octoprint-firmwarecheck-pyc-2021.10.11-r1.apk | 17.4 KiB | 2024-04-16 00:40:05 |
licenseheaders-0.8.8-r3.apk | 17.4 KiB | 2024-04-15 21:51:18 |
rizin-doc-0.6.3-r0.apk | 17.4 KiB | 2023-10-18 15:52:48 |
perl-html-tableextract-2.15-r4.apk | 17.4 KiB | 2023-07-04 00:01:46 |
libfort-dev-0.4.2-r0.apk | 17.4 KiB | 2021-10-03 06:14:26 |
asteroid-diamonds-2.0.0-r0.apk | 17.4 KiB | 2023-08-31 09:43:21 |
lomiri-download-manager-dev-0.1.3-r1.apk | 17.4 KiB | 2024-04-22 18:58:31 |
hexedit-1.6_git20230905-r0.apk | 17.4 KiB | 2024-04-04 14:58:12 |
surfraw-doc-2.3.0-r0.apk | 17.4 KiB | 2023-08-01 20:41:32 |
atool-0.39.0-r4.apk | 17.5 KiB | 2022-11-02 08:46:34 |
xsecurelock-doc-1.9.0-r1.apk | 17.5 KiB | 2024-04-11 22:06:36 |
mdp-1.0.15-r1.apk | 17.5 KiB | 2022-11-02 08:48:33 |
pacparser-doc-1.4.3-r1.apk | 17.5 KiB | 2024-04-15 21:51:24 |
dislocker-0.7.3-r5.apk | 17.5 KiB | 2024-04-13 17:05:19 |
py3-kerberos-1.3.1-r4.apk | 17.6 KiB | 2023-03-02 00:21:23 |
wayqt-dev-0.2.0-r0.apk | 17.6 KiB | 2023-12-30 13:27:24 |
rmlint-doc-2.10.2-r1.apk | 17.6 KiB | 2024-04-15 21:52:07 |
dropwatch-1.5.4-r4.apk | 17.6 KiB | 2024-03-01 15:19:11 |
gtklock-2.1.0-r0.apk | 17.6 KiB | 2023-07-18 20:21:56 |
py3-dpath-pyc-2.1.6-r1.apk | 17.6 KiB | 2024-04-15 21:51:32 |
py3-blockchain-pyc-1.4.4-r6.apk | 17.6 KiB | 2024-04-15 21:51:29 |
mkg3a-0.5.0-r1.apk | 17.6 KiB | 2022-11-02 08:48:37 |
py3-sphinxcontrib-cartouche-1.1.2-r7.apk | 17.7 KiB | 2024-04-15 21:52:03 |
libiio-doc-0.25-r1.apk | 17.7 KiB | 2024-04-15 21:51:07 |
spvm-errno-0.092-r0.apk | 17.7 KiB | 2024-04-10 23:39:36 |
perl-opentracing-1.006-r0.apk | 17.7 KiB | 2024-01-15 21:00:53 |
newsyslog-1.2.0.91-r1.apk | 17.7 KiB | 2023-05-31 07:03:42 |
py3-pytest-subprocess-1.5.0-r2.apk | 17.7 KiB | 2024-04-15 21:52:00 |
py3-helper-2.5.0-r4.apk | 17.8 KiB | 2024-04-15 21:51:35 |
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk | 17.8 KiB | 2024-04-15 21:52:03 |
py3-findpython-0.6.1-r0.apk | 17.8 KiB | 2024-04-25 23:13:53 |
persistent-cache-cpp-dev-1.0.7-r1.apk | 17.9 KiB | 2024-04-22 18:59:10 |
py3-poetry-dynamic-versioning-1.2.0-r1.apk | 17.9 KiB | 2024-04-15 21:52:00 |
py3-flake8-isort-6.1.1-r1.apk | 17.9 KiB | 2024-04-15 21:51:33 |
hatop-0.8.2-r0.apk | 18.0 KiB | 2022-08-01 17:46:28 |
py3-flask-migrate-pyc-4.0.5-r1.apk | 18.0 KiB | 2024-04-15 21:51:35 |
sthttpd-doc-2.27.1-r2.apk | 18.0 KiB | 2022-11-02 08:49:20 |
py3-parse-pyc-1.20.0-r1.apk | 18.0 KiB | 2024-04-15 21:51:59 |
perl-datetime-format-flexible-0.34-r0.apk | 18.0 KiB | 2024-01-14 15:56:32 |
circuslinux-doc-1.0.3-r1.apk | 18.0 KiB | 2021-12-05 01:04:35 |
anarch-doc-1.0-r1.apk | 18.0 KiB | 2022-08-20 13:32:46 |
py3-flake8-copyright-0.2.4-r3.apk | 18.0 KiB | 2024-04-15 21:51:33 |
liberasurecode-dev-1.6.3-r1.apk | 18.0 KiB | 2023-05-15 20:51:50 |
perl-cpan-changes-doc-0.500003-r0.apk | 18.0 KiB | 2024-03-14 08:38:49 |
py3-flask-qrcode-3.1.0-r4.apk | 18.0 KiB | 2024-04-15 21:51:35 |
libecap-static-1.0.1-r1.apk | 18.0 KiB | 2023-05-15 20:51:50 |
py3-flask-cache-pyc-0.13.1-r9.apk | 18.0 KiB | 2024-04-15 21:51:35 |
ldapdomaindump-0.9.4-r1.apk | 18.1 KiB | 2024-04-15 21:51:05 |
spvm-mime-base64-1.001003-r0.apk | 18.1 KiB | 2024-04-10 23:39:36 |
ocaml-randomconv-dev-0.1.3-r2.apk | 18.1 KiB | 2024-03-24 02:12:36 |
py3-schema-pyc-0.7.5-r3.apk | 18.1 KiB | 2024-04-15 21:52:01 |
py3-aioxmpp-doc-0.13.3-r2.apk | 18.1 KiB | 2024-04-15 21:51:25 |
cowsay-3.04-r2.apk | 18.1 KiB | 2022-11-02 08:46:46 |
wordgrinder-doc-0.8-r1.apk | 18.1 KiB | 2022-11-02 08:49:38 |
speedtest-doc-5.2.5-r1.apk | 18.1 KiB | 2023-09-04 12:02:35 |
licenseheaders-pyc-0.8.8-r3.apk | 18.2 KiB | 2024-04-15 21:51:18 |
ocaml-pbkdf-1.2.0-r2.apk | 18.2 KiB | 2024-03-24 02:12:25 |
llmnrd-0.7-r1.apk | 18.2 KiB | 2022-11-02 08:47:59 |
ssdfs-tools-dev-4.09-r0.apk | 18.2 KiB | 2023-03-13 09:12:21 |
py3-wbdata-1.0.0-r1.apk | 18.2 KiB | 2024-04-15 21:52:05 |
php81-iconv-8.1.28-r0.apk | 18.3 KiB | 2024-04-10 23:39:35 |
py3-spin-0.8-r0.apk | 18.3 KiB | 2024-04-13 17:05:21 |
apollo-doc-0.2.1-r2.apk | 18.3 KiB | 2024-04-07 00:45:35 |
py3-bson-pyc-0.5.10-r5.apk | 18.3 KiB | 2024-04-15 21:51:29 |
nvim-treesitter-doc-0.0.0_git20221013-r0.apk | 18.3 KiB | 2022-10-13 20:59:39 |
py3-funcparserlib-pyc-1.0.1-r4.apk | 18.4 KiB | 2024-04-15 21:51:35 |
pipeline-lang-1.14.5-r0.apk | 18.4 KiB | 2024-02-24 12:27:07 |
iir1-1.9.4-r0.apk | 18.4 KiB | 2023-01-08 08:35:07 |
py3-yara-4.5.0-r1.apk | 18.5 KiB | 2024-04-15 21:52:05 |
py3-gevent-websocket-0.10.1-r7.apk | 18.5 KiB | 2024-04-15 21:51:35 |
libmpfi-doc-1.5.4-r2.apk | 18.5 KiB | 2023-08-01 12:38:02 |
ocaml-hkdf-dev-1.0.4-r2.apk | 18.5 KiB | 2024-03-24 02:12:10 |
php81-tidy-8.1.28-r0.apk | 18.5 KiB | 2024-04-10 23:39:36 |
boson-0_git20211219-r0.apk | 18.5 KiB | 2022-07-10 18:36:45 |
lua5.3-linenoise-0.9-r1.apk | 18.5 KiB | 2021-01-30 17:27:18 |
lua5.4-linenoise-0.9-r1.apk | 18.5 KiB | 2021-01-30 17:27:18 |
lua5.2-linenoise-0.9-r1.apk | 18.5 KiB | 2021-01-30 17:27:18 |
lua5.1-linenoise-0.9-r1.apk | 18.5 KiB | 2021-01-30 17:27:18 |
php81-pecl-ast-1.1.1-r0.apk | 18.6 KiB | 2024-04-10 23:39:35 |
linuxptp-tz2alt-4.2-r0.apk | 18.6 KiB | 2023-12-20 18:54:36 |
mitra-doc-2.18.0-r0.apk | 18.6 KiB | 2024-05-08 05:29:44 |
py3-pathvalidate-3.2.0-r1.apk | 18.6 KiB | 2024-04-15 21:51:59 |
py3-recurring-ical-events-2.2.1-r1.apk | 18.6 KiB | 2024-04-15 21:52:01 |
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk | 18.6 KiB | 2024-04-15 21:52:03 |
rmlint-lang-2.10.2-r1.apk | 18.7 KiB | 2024-04-15 21:52:07 |
py3-aiohttp-remotes-pyc-1.2.0-r3.apk | 18.7 KiB | 2024-04-15 21:51:25 |
mdnsd-libs-0.12-r1.apk | 18.7 KiB | 2023-05-15 20:51:52 |
emacs-svg-lib-0_git20240219-r0.apk | 18.7 KiB | 2024-04-02 07:53:26 |
razercfg-gui-0.42-r6.apk | 18.7 KiB | 2024-04-15 21:52:06 |
moe-doc-1.14-r0.apk | 18.7 KiB | 2024-01-21 03:06:36 |
xkb-switch-1.8.5-r0.apk | 18.7 KiB | 2021-12-11 18:07:35 |
libideviceactivation-1.1.1-r4.apk | 18.8 KiB | 2023-05-15 02:29:48 |
nvim-lualine-doc-0.0.0_git20221006-r0.apk | 18.8 KiB | 2022-10-13 20:59:39 |
imrsh-dbg-0_git20210320-r1.apk | 18.9 KiB | 2022-11-02 08:47:24 |
znc-clientbuffer-1.0.48-r7.apk | 18.9 KiB | 2023-11-09 08:43:30 |
py3-aioopenssl-pyc-0.6.0-r3.apk | 18.9 KiB | 2024-04-15 21:51:25 |
ddcci-driver-linux-src-0.4.4-r2.apk | 18.9 KiB | 2024-03-14 08:35:43 |
node-libpg-query-13.1.2-r4.apk | 18.9 KiB | 2024-04-03 10:47:21 |
ocaml-gettext-doc-0.4.2-r3.apk | 18.9 KiB | 2024-03-24 02:12:09 |
perl-protocol-database-postgresql-2.001-r0.apk | 18.9 KiB | 2024-01-27 15:55:32 |
php81-pecl-decimal-1.5.0-r1.apk | 19.0 KiB | 2024-04-10 23:39:35 |
perl-hash-ordered-doc-0.014-r0.apk | 19.0 KiB | 2024-01-19 00:19:05 |
ocaml-ppx_blob-dev-0.8.0-r0.apk | 19.0 KiB | 2024-04-22 06:21:41 |
planarity-dev-3.0.2.0-r2.apk | 19.0 KiB | 2023-08-01 12:38:05 |
freealut-1.1.0-r1.apk | 19.0 KiB | 2022-07-26 07:50:42 |
sdparm-doc-1.12-r1.apk | 19.1 KiB | 2022-11-02 08:49:09 |
materia-kde-20220823-r0.apk | 19.1 KiB | 2023-03-19 22:42:03 |
py3-sphinx-autodoc-typehints-2.0.1-r0.apk | 19.1 KiB | 2024-04-13 17:05:21 |
shellinabox-doc-2.21-r3.apk | 19.1 KiB | 2023-05-15 20:51:56 |
perl-mojo-sqlite-doc-3.009-r0.apk | 19.1 KiB | 2024-01-12 13:03:55 |
lua-libmodbus-doc-0.6.1-r0.apk | 19.1 KiB | 2020-07-11 14:23:21 |
libui-dev-4.1_alpha20211213-r0.apk | 19.1 KiB | 2021-12-13 20:16:43 |
py3-webvtt-pyc-0.4.6-r1.apk | 19.2 KiB | 2024-04-15 21:52:05 |
xfce4-panel-profiles-doc-1.0.14-r1.apk | 19.2 KiB | 2023-12-11 08:36:09 |
php81-xml-8.1.28-r0.apk | 19.2 KiB | 2024-04-10 23:39:36 |
asteroid-weather-2.0.0-r0.apk | 19.2 KiB | 2023-08-31 09:43:21 |
php81-pdo_pgsql-8.1.28-r0.apk | 19.3 KiB | 2024-04-10 23:39:35 |
libhomfly-1.02_p6-r1.apk | 19.3 KiB | 2024-03-29 11:24:21 |
wlrobs-1.0-r4.apk | 19.3 KiB | 2023-01-08 04:43:03 |
perl-mojo-pg-doc-4.27-r0.apk | 19.3 KiB | 2024-01-17 12:13:17 |
py3-ffmpeg-0.2.0-r3.apk | 19.3 KiB | 2024-04-15 21:51:33 |
py3-wbdata-pyc-1.0.0-r1.apk | 19.3 KiB | 2024-04-15 21:52:05 |
libofx-dev-0.10.9-r1.apk | 19.3 KiB | 2023-08-26 11:17:07 |
perl-xml-atom-0.43-r0.apk | 19.3 KiB | 2024-01-14 15:56:32 |
liblastfm-qt-dev-1.1.10_git20190823-r3.apk | 19.4 KiB | 2024-05-09 03:06:11 |
ampy-pyc-1.1.0-r5.apk | 19.4 KiB | 2024-04-13 17:05:19 |
perl-gtk3-0.038-r1.apk | 19.4 KiB | 2023-07-04 00:01:46 |
droidcam-2.1.3-r0.apk | 19.4 KiB | 2024-04-05 23:23:31 |
dinit-shutdown-0.18.0-r1.apk | 19.4 KiB | 2024-01-28 11:40:32 |
pimd-dense-doc-2.1.0-r0.apk | 19.4 KiB | 2023-01-14 01:44:55 |
powerstat-0.04.01-r0.apk | 19.4 KiB | 2024-01-03 12:58:17 |
php81-pecl-yaml-2.2.3-r1.apk | 19.4 KiB | 2024-04-10 23:39:35 |
ocaml-cmdliner-doc-1.1.1-r3.apk | 19.5 KiB | 2024-03-24 02:11:57 |
eiwd-doc-2.16-r0.apk | 19.5 KiB | 2024-03-20 20:07:27 |
perl-test-trap-0.3.5-r1.apk | 19.5 KiB | 2023-07-04 00:01:46 |
perl-gearman-doc-2.004.015-r3.apk | 19.5 KiB | 2024-01-04 01:13:43 |
py3-numpy-stl-3.0.1-r2.apk | 19.5 KiB | 2024-04-15 21:51:55 |
xfce4-verve-plugin-2.0.3-r0.apk | 19.5 KiB | 2023-08-07 09:01:19 |
py3-cobs-1.2.0-r3.apk | 19.6 KiB | 2024-04-15 21:51:30 |
py3-flask-restaction-pyc-0.25.3-r8.apk | 19.6 KiB | 2024-04-15 21:51:35 |
lua-lupa-1.0-r0.apk | 19.6 KiB | 2022-01-14 09:21:01 |
py3-srt-3.5.3-r1.apk | 19.6 KiB | 2024-04-15 21:52:03 |
greetd-mini-wl-greeter-0_git20230821-r0.apk | 19.6 KiB | 2023-08-22 10:23:18 |
perl-ffi-c-0.15-r0.apk | 19.6 KiB | 2024-02-03 09:53:58 |
fnf-0.1-r0.apk | 19.6 KiB | 2024-03-09 07:45:17 |
ocaml-mirage-net-dev-4.0.0-r3.apk | 19.6 KiB | 2024-03-24 02:12:20 |
perl-test-trap-doc-0.3.5-r1.apk | 19.6 KiB | 2023-07-04 00:01:46 |
apk-snap-doc-3.1.1-r0.apk | 19.7 KiB | 2024-01-22 15:55:25 |
py3-incoming-pyc-0.3.1-r7.apk | 19.7 KiB | 2024-04-15 21:51:36 |
py3-furl-2.1.3-r2.apk | 19.7 KiB | 2023-04-22 12:56:20 |
libserialport-0.1.1-r1.apk | 19.7 KiB | 2022-02-06 09:44:37 |
kubeone-doc-1.7.4-r0.apk | 19.7 KiB | 2024-05-04 11:20:52 |
perl-autobox-3.0.1-r8.apk | 19.8 KiB | 2023-07-04 00:01:45 |
py3-piccata-2.0.3-r1.apk | 19.8 KiB | 2024-04-15 21:51:59 |
py3-parse-1.20.0-r1.apk | 19.8 KiB | 2024-04-15 21:51:59 |
ddgr-2.2-r0.apk | 19.8 KiB | 2024-01-14 20:26:44 |
py3-nmap-0.7.1-r3.apk | 19.8 KiB | 2024-04-15 21:51:55 |
perl-test-expander-doc-2.5.0-r0.apk | 19.8 KiB | 2024-03-09 06:50:30 |
materia-light-kde-plasma-20220823-r0.apk | 19.9 KiB | 2023-03-19 22:42:03 |
libemf2svg-utils-1.1.0-r2.apk | 19.9 KiB | 2022-11-02 08:47:57 |
py3-mopidy-jellyfin-1.0.4-r3.apk | 19.9 KiB | 2024-04-15 21:51:55 |
siji-ng-1.2.1-r0.apk | 19.9 KiB | 2022-08-30 14:37:26 |
mdnsd-static-0.12-r1.apk | 19.9 KiB | 2023-05-15 20:51:52 |
perl-sql-abstract-classic-doc-1.91-r1.apk | 20.0 KiB | 2023-07-04 00:01:46 |
portsmf-dev-239-r1.apk | 20.0 KiB | 2023-03-17 18:52:42 |
ptpd-doc-2.3.1-r1.apk | 20.0 KiB | 2022-11-02 08:48:58 |
wsmancli-2.6.2-r0.apk | 20.0 KiB | 2023-01-12 15:57:57 |
py3-mopidy-tidal-0.3.2-r5.apk | 20.1 KiB | 2024-04-15 21:51:55 |
py3-libnacl-2.1.0-r1.apk | 20.1 KiB | 2024-04-15 21:51:38 |
libubox-dev-20230523-r0.apk | 20.1 KiB | 2023-08-06 19:59:31 |
bootterm-0.5-r0.apk | 20.1 KiB | 2024-05-09 18:31:06 |
php81-bcmath-8.1.28-r0.apk | 20.1 KiB | 2024-04-10 23:39:34 |
lomiri-app-launch-dev-0.1.9-r2.apk | 20.1 KiB | 2024-04-26 22:28:25 |
libiscsi-dev-1.19.0-r2.apk | 20.1 KiB | 2023-05-15 20:51:50 |
sqm-scripts-1.6.0-r0.apk | 20.2 KiB | 2023-08-22 20:01:16 |
py3-py-radix-0.10.0-r9.apk | 20.2 KiB | 2024-04-15 21:52:00 |
libirecovery-1.1.0-r0.apk | 20.2 KiB | 2023-05-27 09:50:49 |
py3-nptyping-2.5.0-r2.apk | 20.2 KiB | 2024-04-13 17:05:21 |
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk | 20.2 KiB | 2024-04-15 21:52:03 |
shc-4.0.3-r1.apk | 20.3 KiB | 2022-11-02 08:49:09 |
py3-geoip-1.3.2-r3.apk | 20.3 KiB | 2024-04-15 21:51:35 |
readosm-dev-1.1.0-r2.apk | 20.3 KiB | 2021-09-27 22:25:17 |
iprange-1.0.4-r1.apk | 20.3 KiB | 2022-11-02 08:47:24 |
py3-teletype-pyc-1.3.4-r3.apk | 20.3 KiB | 2024-04-15 21:52:04 |
libopensmtpd-0.7-r0.apk | 20.3 KiB | 2022-02-19 01:19:53 |
musikcube-dev-3.0.2-r1.apk | 20.3 KiB | 2023-12-13 20:21:34 |
vbindiff-3.0_beta5-r1.apk | 20.3 KiB | 2022-11-02 08:49:35 |
tang-doc-14-r0.apk | 20.4 KiB | 2023-07-23 13:56:46 |
marknote-lang-1.1.1-r0.apk | 20.4 KiB | 2024-04-04 08:00:42 |
circuslinux-1.0.3-r1.apk | 20.4 KiB | 2021-12-05 01:04:34 |
autorandr-1.15-r0.apk | 20.4 KiB | 2024-03-16 12:36:56 |
py3-mando-0.7.1-r2.apk | 20.4 KiB | 2024-04-15 21:51:54 |
qmk-cli-pyc-1.1.5-r1.apk | 20.4 KiB | 2024-04-15 21:52:05 |
vim-rust-305-r0.apk | 20.4 KiB | 2017-03-28 19:33:31 |
snapper-doc-0.10.7-r1.apk | 20.4 KiB | 2024-04-22 18:59:12 |
libmrss-0.19.2-r1.apk | 20.4 KiB | 2021-07-18 09:15:07 |
xfce4-mpc-plugin-0.5.3-r0.apk | 20.4 KiB | 2023-08-02 08:08:41 |
xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk | 20.4 KiB | 2023-08-03 20:00:15 |
lzfse-1.0-r0.apk | 20.4 KiB | 2022-09-17 09:57:42 |
py3-altgraph-0.17.4-r1.apk | 20.5 KiB | 2024-04-15 21:51:25 |
detox-doc-2.0.0-r0.apk | 20.5 KiB | 2024-04-01 19:42:25 |
fcitx5-gtk-5.1.3-r0.apk | 20.5 KiB | 2024-05-10 03:39:43 |
py3-telemetrix-1.20-r2.apk | 20.5 KiB | 2024-04-15 21:52:04 |
ocaml-seq-dev-0.3.1-r2.apk | 20.5 KiB | 2024-03-24 02:12:49 |
adjtimex-1.29-r0.apk | 20.6 KiB | 2021-12-31 21:27:08 |
libnxml-0.18.3-r0.apk | 20.6 KiB | 2019-03-19 11:28:08 |
lomiri-url-dispatcher-lang-0.1.3-r1.apk | 20.6 KiB | 2024-04-15 21:51:19 |
bump2version-1.0.1-r6.apk | 20.6 KiB | 2024-04-15 21:50:53 |
tup-doc-0.7.11-r0.apk | 20.6 KiB | 2023-03-06 13:17:38 |
htmlcxx-dev-0.87-r1.apk | 20.6 KiB | 2022-10-14 16:16:43 |
libm17n-flt-1.8.4-r1.apk | 20.6 KiB | 2023-09-19 21:48:11 |
py3-firmata-pyc-1.0.3-r9.apk | 20.6 KiB | 2024-04-15 21:51:33 |
znc-playback-0_git20210503-r7.apk | 20.7 KiB | 2023-11-09 08:43:30 |
py3-telegram-pyc-0.18.0-r2.apk | 20.7 KiB | 2024-04-15 21:52:04 |
simpleble-dev-0.6.1-r1.apk | 20.7 KiB | 2023-07-29 20:02:55 |
libiio-pyc-0.25-r1.apk | 20.7 KiB | 2024-04-15 21:51:07 |
py3-pure_protobuf-3.0.1-r2.apk | 20.8 KiB | 2024-04-15 21:52:00 |
dfl-ipc-0.2.0-r0.apk | 20.8 KiB | 2023-12-30 13:27:24 |
perl-flowd-0.9.1-r9.apk | 20.8 KiB | 2023-07-04 00:01:46 |
hiawatha-doc-11.5-r0.apk | 20.8 KiB | 2024-04-13 17:05:20 |
php81-sqlite3-8.1.28-r0.apk | 20.8 KiB | 2024-04-10 23:39:36 |
perl-extutils-xsbuilder-doc-0.28-r5.apk | 20.9 KiB | 2023-07-04 00:01:46 |
recoll-doc-1.37.5-r1.apk | 20.9 KiB | 2024-04-15 21:52:07 |
php81-pecl-smbclient-1.1.1-r1.apk | 20.9 KiB | 2024-04-10 23:39:35 |
cri-o-doc-1.29.1-r2.apk | 20.9 KiB | 2024-04-07 00:46:18 |
gnomecast-1.9.11_git20220423-r2.apk | 20.9 KiB | 2023-04-24 09:28:49 |
py3-imageio-ffmpeg-pyc-0.4.9-r0.apk | 21.0 KiB | 2023-10-05 22:34:00 |
dnsenum-1.3.2-r0.apk | 21.0 KiB | 2024-04-24 01:33:23 |
ubase-doc-20200605-r2.apk | 21.0 KiB | 2022-11-02 08:49:31 |
py3-codecov-pyc-2.1.13-r2.apk | 21.0 KiB | 2024-04-15 21:51:30 |
py3-coreapi-2.3.3-r8.apk | 21.1 KiB | 2024-04-15 21:51:30 |
ruff-lsp-0.0.53-r0.apk | 21.1 KiB | 2024-04-16 11:27:25 |
py3-linkify-it-py-2.0.3-r1.apk | 21.1 KiB | 2024-04-15 21:51:39 |
fusee-nano-0.5.3-r0.apk | 21.1 KiB | 2023-12-31 18:28:48 |
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk | 21.1 KiB | 2024-04-15 21:52:03 |
py3-pebble-5.0.7-r1.apk | 21.1 KiB | 2024-04-15 21:51:59 |
varnish-modules-doc-0.23.0-r1.apk | 21.1 KiB | 2023-12-12 06:41:07 |
mimeo-2023-r1.apk | 21.1 KiB | 2024-04-15 21:51:19 |
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r0.apk | 21.1 KiB | 2023-11-02 11:36:06 |
horizon-doc-0.9.6-r9.apk | 21.2 KiB | 2024-04-22 18:58:15 |
php81-snmp-8.1.28-r0.apk | 21.2 KiB | 2024-04-10 23:39:36 |
materia-light-kde-yakuake-20220823-r0.apk | 21.2 KiB | 2023-03-19 22:42:03 |
nvim-packer-doc-0.0.0_git20220910-r0.apk | 21.3 KiB | 2022-10-13 20:59:39 |
py3-authres-pyc-1.2.0-r1.apk | 21.3 KiB | 2024-04-15 21:51:29 |
py3-proxmoxer-pyc-2.0.1-r3.apk | 21.3 KiB | 2024-04-15 21:52:00 |
starfighter-doc-2.4-r0.apk | 21.3 KiB | 2023-08-08 21:08:09 |
ocaml-mirage-kv-4.0.1-r3.apk | 21.3 KiB | 2024-03-24 02:12:20 |
py3-unidns-pyc-0.0.1-r2.apk | 21.3 KiB | 2024-04-15 21:52:05 |
fox-shutterbug-1.6.57-r0.apk | 21.3 KiB | 2022-08-08 10:58:10 |
php82-pecl-excimer-1.2.1-r0.apk | 21.4 KiB | 2024-02-29 12:20:33 |
py3-sphinx-argparse-pyc-0.4.0-r3.apk | 21.4 KiB | 2024-04-15 21:52:02 |
fulcrum-doc-1.9.8-r0.apk | 21.4 KiB | 2024-02-12 22:45:34 |
py3-pytest-html-4.1.1-r1.apk | 21.4 KiB | 2024-04-15 21:52:00 |
php83-pecl-excimer-1.2.1-r0.apk | 21.4 KiB | 2024-02-29 12:20:33 |
py3-agithub-pyc-2.2.2-r5.apk | 21.4 KiB | 2024-04-15 21:51:25 |
git-filter-repo-doc-2.38.0-r0.apk | 21.4 KiB | 2023-10-15 13:20:12 |
nmap-parse-output-1.5.1-r0.apk | 21.4 KiB | 2022-06-12 21:55:08 |
perl-bsd-resource-1.2911-r9.apk | 21.4 KiB | 2023-12-17 22:52:19 |
py3-svgpath-pyc-6.3-r2.apk | 21.4 KiB | 2024-04-15 21:52:03 |
php81-gmp-8.1.28-r0.apk | 21.4 KiB | 2024-04-10 23:39:35 |
minimodem-0.24-r1.apk | 21.4 KiB | 2022-11-02 08:48:35 |
musikcube-plugin-stockencoders-3.0.2-r1.apk | 21.5 KiB | 2023-12-13 20:21:34 |
materia-dark-kde-yakuake-20220823-r0.apk | 21.5 KiB | 2023-03-19 22:42:03 |
perl-net-idn-encode-doc-2.500-r0.apk | 21.5 KiB | 2024-01-14 12:53:34 |
py3-pytest-html-pyc-4.1.1-r1.apk | 21.5 KiB | 2024-04-15 21:52:00 |
libcyaml-1.4.1-r2.apk | 21.5 KiB | 2024-01-11 21:56:38 |
sfwbar-doc-1.0_beta14-r0.apk | 21.5 KiB | 2024-01-04 01:11:48 |
py3-pyroma-4.2-r0.apk | 21.6 KiB | 2024-04-15 21:52:00 |
py3-compdb-0.2.0-r7.apk | 21.6 KiB | 2024-04-15 21:51:30 |
py3-virtualenvwrapper-6.1.0-r1.apk | 21.6 KiB | 2024-04-15 21:52:05 |
py3-arpeggio-2.0.2-r1.apk | 21.6 KiB | 2024-04-15 14:28:21 |
fig2dev-doc-3.2.8b-r0.apk | 21.6 KiB | 2022-03-11 10:38:38 |
wiremapper-0.10.0-r0.apk | 21.7 KiB | 2022-04-26 20:41:23 |
py3-ansi2html-pyc-1.9.1-r1.apk | 21.7 KiB | 2024-04-15 21:51:26 |
cups-pdf-3.0.1-r2.apk | 21.7 KiB | 2024-03-28 20:30:46 |
libnfc-doc-1.8.0-r1.apk | 21.7 KiB | 2023-05-15 20:51:50 |
netdiscover-doc-0.10-r0.apk | 21.7 KiB | 2023-09-29 23:01:25 |
libspatialindex-dev-0_git20210205-r1.apk | 21.7 KiB | 2023-11-02 20:32:30 |
ovos-audio-pyc-0.0.2_alpha13-r0.apk | 21.8 KiB | 2024-03-29 11:24:21 |
py3-cstruct-5.3-r1.apk | 21.8 KiB | 2024-04-15 21:51:30 |
perl-mastodon-client-0.017-r0.apk | 21.8 KiB | 2024-01-13 11:52:05 |
zycore-1.5.0-r0.apk | 21.8 KiB | 2024-04-05 22:35:59 |
xfce4-calculator-plugin-lang-0.7.2-r0.apk | 21.8 KiB | 2023-04-19 22:25:24 |
bindfs-1.17.6-r0.apk | 21.9 KiB | 2023-12-03 10:03:34 |
prettier-doc-3.2.5-r0.apk | 21.9 KiB | 2024-02-05 23:59:11 |
clevis-doc-19-r0.apk | 21.9 KiB | 2023-01-29 19:28:11 |
timewarrior-doc-1.7.1-r0.apk | 21.9 KiB | 2024-01-17 22:56:10 |
znc-backlog-0_git20210503-r7.apk | 21.9 KiB | 2023-11-09 08:43:30 |
py3-dexml-0.5.1-r9.apk | 22.0 KiB | 2024-04-15 21:51:31 |
py3-pymata-2.20-r3.apk | 22.0 KiB | 2024-04-15 21:52:00 |
stubby-0.4.3-r0.apk | 22.0 KiB | 2023-02-22 16:35:42 |
fcitx5-anthy-lang-5.1.4-r0.apk | 22.0 KiB | 2024-05-10 03:39:43 |
py3-html5-parser-pyc-0.4.12-r1.apk | 22.0 KiB | 2024-04-15 21:51:35 |
sblim-sfcc-dev-2.2.8-r2.apk | 22.0 KiB | 2023-05-15 20:51:56 |
perl-net-patricia-1.22-r11.apk | 22.0 KiB | 2023-07-04 00:01:46 |
py3-dep-logic-0.2.0-r1.apk | 22.1 KiB | 2024-04-15 21:51:31 |
perl-glib-ex-objectbits-doc-16-r5.apk | 22.1 KiB | 2023-07-04 00:01:46 |
asteroid-calendar-2.0.0-r0.apk | 22.1 KiB | 2023-08-31 09:43:21 |
musikcube-plugin-mpris-3.0.2-r1.apk | 22.2 KiB | 2023-12-13 20:21:34 |
py3-authres-1.2.0-r1.apk | 22.2 KiB | 2024-04-15 21:51:29 |
py3-actdiag-pyc-3.0.0-r3.apk | 22.2 KiB | 2023-04-22 01:18:51 |
swi-prolog-pyc-9.2.4-r0.apk | 22.3 KiB | 2024-04-29 01:03:31 |
py3-mopidy-local-3.2.1-r3.apk | 22.3 KiB | 2024-04-15 21:51:55 |
flintqs-1.0-r1.apk | 22.3 KiB | 2023-08-01 12:37:59 |
perl-rxperl-doc-6.28.0-r0.apk | 22.3 KiB | 2024-01-19 00:19:06 |
docbook2mdoc-1.1.0-r1.apk | 22.3 KiB | 2022-11-02 08:46:57 |
htslib-doc-1.19-r0.apk | 22.4 KiB | 2023-12-12 17:44:58 |
py3-poetry-dynamic-versioning-pyc-1.2.0-r1.apk | 22.4 KiB | 2024-04-15 21:52:00 |
bestline-0.0_git20211108-r0.apk | 22.4 KiB | 2022-02-13 08:17:11 |
fcitx5-qt-dev-5.1.6-r0.apk | 22.4 KiB | 2024-05-10 03:39:43 |
py3-aiowinreg-0.0.10-r1.apk | 22.4 KiB | 2024-04-15 21:51:25 |
policycoreutils-doc-3.6-r0.apk | 22.4 KiB | 2024-01-08 09:44:33 |
py3-twiggy-0.5.1-r3.apk | 22.5 KiB | 2024-04-15 21:52:04 |
perl-http-xsheaders-0.400005-r0.apk | 22.5 KiB | 2024-02-24 12:50:42 |
flatpak-xdg-utils-1.0.5-r0.apk | 22.5 KiB | 2023-08-22 15:57:47 |
emacs-ace-window-0.10.0_git20220911-r0.apk | 22.5 KiB | 2024-04-02 07:53:25 |
py3-pymata4-1.15-r3.apk | 22.5 KiB | 2024-04-15 21:52:00 |
cdba-server-1.0-r0.apk | 22.5 KiB | 2023-11-12 13:17:48 |
libdatrie-dev-0.2.13-r0.apk | 22.5 KiB | 2022-01-27 00:31:20 |
perl-database-async-0.019-r0.apk | 22.6 KiB | 2024-01-17 12:12:35 |
tree-sitter-clojure-0.0.12-r0.apk | 22.6 KiB | 2024-01-12 13:03:55 |
perl-css-object-0.1.6-r0.apk | 22.6 KiB | 2024-02-24 12:50:42 |
postgresql-pg_partman-bitcode-5.0.0-r0.apk | 22.6 KiB | 2023-12-17 21:56:38 |
tanidvr-1.4.1-r1.apk | 22.6 KiB | 2022-10-14 16:16:46 |
nlopt-doc-2.7.1-r0.apk | 22.7 KiB | 2022-05-06 09:53:00 |
libsemanage-doc-3.6-r0.apk | 22.7 KiB | 2023-12-28 04:20:31 |
py3-pyvcd-0.4.0-r1.apk | 22.7 KiB | 2024-04-15 21:52:01 |
py3-pysrt-pyc-1.1.2-r3.apk | 22.8 KiB | 2024-04-15 21:52:00 |
tree-sitter-nix-0_git20230713-r0.apk | 22.8 KiB | 2023-11-19 02:32:23 |
py3-tasklib-2.5.1-r2.apk | 22.8 KiB | 2024-04-15 21:52:03 |
php81-ftp-8.1.28-r0.apk | 22.9 KiB | 2024-04-10 23:39:35 |
php81-simplexml-8.1.28-r0.apk | 22.9 KiB | 2024-04-10 23:39:36 |
git-revise-0.7.0-r4.apk | 22.9 KiB | 2024-04-15 21:50:57 |
tree-sitter-scheme-0.6.0-r0.apk | 22.9 KiB | 2023-11-20 00:29:30 |
lipstick-asteroidos-tools-2.0.0-r1.apk | 22.9 KiB | 2023-10-08 10:48:30 |
autotrash-0.4.6-r1.apk | 22.9 KiB | 2024-04-13 17:05:19 |
libibumad-doc-1.3.10.2-r3.apk | 22.9 KiB | 2023-05-15 20:51:50 |
perl-getopt-tabular-0.3-r4.apk | 23.0 KiB | 2023-07-04 00:01:46 |
xmp-4.2.0-r0.apk | 23.0 KiB | 2023-08-20 21:54:18 |
lua5.2-luacov-0.15.0-r0.apk | 23.0 KiB | 2023-06-08 21:49:05 |
php81-odbc-8.1.28-r0.apk | 23.0 KiB | 2024-04-10 23:39:35 |
lua5.1-luacov-0.15.0-r0.apk | 23.0 KiB | 2023-06-08 21:49:05 |
lua5.3-luacov-0.15.0-r0.apk | 23.0 KiB | 2023-06-08 21:49:05 |
lua5.4-luacov-0.15.0-r0.apk | 23.0 KiB | 2023-06-08 21:49:05 |
py3-linkify-it-py-pyc-2.0.3-r1.apk | 23.2 KiB | 2024-04-15 21:51:39 |
admesh-doc-0.98.5-r0.apk | 23.2 KiB | 2022-12-05 10:14:27 |
surf-2.1-r2.apk | 23.2 KiB | 2023-02-22 21:45:10 |
lua5.2-xml-1.1.3-r1.apk | 23.2 KiB | 2020-03-02 11:32:04 |
emacs-derl-0_git20231004-r0.apk | 23.2 KiB | 2024-05-05 06:28:21 |
py3-truststore-pyc-0.8.0-r1.apk | 23.3 KiB | 2024-04-15 21:52:04 |
grommunio-error-pages-1.0_git20231031-r0.apk | 23.3 KiB | 2024-04-26 06:32:53 |
libhomfly-dev-1.02_p6-r1.apk | 23.3 KiB | 2024-03-29 11:24:21 |
py3-minidb-pyc-2.0.7-r3.apk | 23.3 KiB | 2024-04-15 21:51:54 |
py3-timeago-1.0.16-r0.apk | 23.3 KiB | 2024-05-05 05:25:01 |
ocaml-lwt-dllist-1.0.1-r3.apk | 23.3 KiB | 2024-03-24 02:12:18 |
nwg-displays-0.3.13-r1.apk | 23.3 KiB | 2024-04-15 21:51:20 |
py3-bite-parser-pyc-0.2.4-r1.apk | 23.4 KiB | 2024-04-15 21:51:29 |
hopalong-0.1-r3.apk | 23.4 KiB | 2022-10-21 21:53:31 |
pam-krb5-doc-4.11-r0.apk | 23.4 KiB | 2022-05-16 14:17:53 |
i2util-4.2.1-r1.apk | 23.4 KiB | 2022-11-02 08:47:23 |
gpscorrelate-cli-2.0_git20230605-r0.apk | 23.4 KiB | 2023-06-15 06:35:22 |
lua5.1-xml-1.1.3-r1.apk | 23.5 KiB | 2020-03-02 11:32:04 |
shipments-0.3.0-r0.apk | 23.5 KiB | 2022-02-03 02:44:57 |
tncattach-0.1.9-r1.apk | 23.5 KiB | 2022-11-02 08:49:24 |
py3-sphinxcontrib-programoutput-pyc-0.17-r4.apk | 23.6 KiB | 2024-04-15 21:52:03 |
tayga-0.9.2-r0.apk | 23.6 KiB | 2023-01-23 07:27:03 |
py3-daemon-pyc-2.3.2-r3.apk | 23.6 KiB | 2024-04-15 21:51:31 |
php81-dba-8.1.28-r0.apk | 23.7 KiB | 2024-04-10 23:39:34 |
newsyslog-doc-1.2.0.91-r1.apk | 23.7 KiB | 2023-05-31 07:03:42 |
vidcutter-doc-6.0.5.1-r5.apk | 23.7 KiB | 2023-04-22 10:54:28 |
py3-aiosasl-pyc-0.5.0-r3.apk | 23.7 KiB | 2024-04-15 21:51:25 |
py3-preggy-pyc-1.4.4-r4.apk | 23.8 KiB | 2024-04-15 21:52:00 |
py3-ajsonrpc-pyc-1.2.0-r3.apk | 23.8 KiB | 2024-04-15 21:51:25 |
libexmdbpp-dev-1.11-r0.apk | 23.8 KiB | 2024-04-26 06:33:02 |
cliquer-tests-1.22-r2.apk | 23.8 KiB | 2023-08-01 12:37:56 |
avr-libc-git-doc-0_git20240218-r4.apk | 23.8 KiB | 2024-02-23 21:55:09 |
mame-doc-0.251-r0.apk | 23.8 KiB | 2023-02-22 11:07:49 |
perl-dns-unbound-0.29-r0.apk | 23.8 KiB | 2024-04-16 17:38:49 |
mbrola-3.3-r0.apk | 23.8 KiB | 2022-08-16 01:57:39 |
py3-hatch-openzim-bootstrap-0.1.0-r0.apk | 23.9 KiB | 2024-04-18 13:59:36 |
py3-rtree-1.1.0-r1.apk | 24.0 KiB | 2024-04-15 21:52:01 |
py3-modbus-tk-1.1.1-r3.apk | 24.0 KiB | 2024-04-15 21:51:55 |
msgpuck-dev-2.0-r1.apk | 24.0 KiB | 2020-02-22 16:50:58 |
junit2html-pyc-0.2.0-r3.apk | 24.0 KiB | 2024-04-15 21:51:03 |
perl-conf-libconfig-1.0.0-r1.apk | 24.0 KiB | 2023-07-04 00:01:45 |
curlftpfs-0.9.2-r3.apk | 24.0 KiB | 2022-11-02 08:46:53 |
lomiri-location-service-lang-3.1.0-r0.apk | 24.0 KiB | 2023-11-14 01:08:39 |
grommunio-admin-api-doc-1.14-r0.apk | 24.0 KiB | 2024-04-26 06:32:52 |
php81-pecl-mailparse-3.1.6-r0.apk | 24.0 KiB | 2024-04-10 23:39:35 |
endless-sky-doc-0.9.16.1-r0.apk | 24.1 KiB | 2022-10-21 21:53:23 |
spvm-math-1.001-r0.apk | 24.1 KiB | 2024-04-10 23:39:36 |
pam-krb5-4.11-r0.apk | 24.1 KiB | 2022-05-16 14:17:53 |
epr-pyc-2.4.15-r1.apk | 24.2 KiB | 2024-04-15 21:50:55 |
xed-python-3.4.5-r0.apk | 24.2 KiB | 2024-01-08 09:44:44 |
py3-spin-pyc-0.8-r0.apk | 24.2 KiB | 2024-04-13 17:05:21 |
py3-pytest-subprocess-pyc-1.5.0-r2.apk | 24.2 KiB | 2024-04-15 21:52:00 |
py3-hatch-openzim-0.2.0-r0.apk | 24.3 KiB | 2024-04-18 13:59:36 |
py3-librtmp-pyc-0.3.0-r6.apk | 24.3 KiB | 2024-04-15 21:51:38 |
perl-mojo-redis-doc-3.29-r0.apk | 24.3 KiB | 2024-01-12 13:03:55 |
py3-queuelib-pyc-1.6.2-r4.apk | 24.4 KiB | 2024-04-15 21:52:01 |
knxd-dev-0.14.59-r0.apk | 24.4 KiB | 2023-09-21 22:53:55 |
gnome-metronome-lang-1.3.0-r0.apk | 24.4 KiB | 2023-06-14 22:17:06 |
py3-qt.py-pyc-1.3.10-r0.apk | 24.4 KiB | 2024-04-15 21:52:01 |
mdnsd-0.12-r1.apk | 24.5 KiB | 2023-05-15 20:51:52 |
py3-iniparse-pyc-0.5-r6.apk | 24.5 KiB | 2024-04-15 21:51:36 |
opkg-utils-0.4.5-r1.apk | 24.5 KiB | 2022-11-02 08:48:45 |
py3-urlobject-pyc-2.4.3-r8.apk | 24.5 KiB | 2024-04-15 21:52:05 |
font-siji-20190218_git-r2.apk | 24.5 KiB | 2022-10-08 17:19:17 |
py3-dominate-2.9.1-r1.apk | 24.5 KiB | 2024-04-15 21:51:32 |
numbat-doc-1.9.0-r0.apk | 24.5 KiB | 2024-02-06 03:37:55 |
py3-wtf-peewee-pyc-3.0.5-r1.apk | 24.5 KiB | 2024-04-15 21:52:05 |
vfd-configurations-0_git20230612-r0.apk | 24.5 KiB | 2023-06-12 22:33:42 |
pnmixer-lang-0.7.2-r3.apk | 24.5 KiB | 2023-10-11 17:35:47 |
py3-patatt-0.6.3-r1.apk | 24.6 KiB | 2024-04-15 21:51:59 |
smplxmpp-doc-0.9.3-r2.apk | 24.6 KiB | 2024-04-29 16:07:08 |
postgresql-pg_variables-1.2.4_git20220909-r2.apk | 24.6 KiB | 2023-10-03 23:25:03 |
parcellite-doc-1.2.4.0-r0.apk | 24.6 KiB | 2024-02-24 13:44:39 |
py3-milc-1.8.0-r1.apk | 24.6 KiB | 2024-04-15 21:51:54 |
pfqueue-dev-0.5.6-r1.apk | 24.7 KiB | 2022-10-14 16:16:44 |
3proxy-doc-0.9.4-r0.apk | 24.7 KiB | 2023-09-18 05:37:19 |
sregex-0.0.1-r1.apk | 24.7 KiB | 2022-11-02 08:49:16 |
ocaml-containers-top-3.7-r2.apk | 24.7 KiB | 2024-03-24 02:12:00 |
php81-sodium-8.1.28-r0.apk | 24.7 KiB | 2024-04-10 23:39:36 |
py3-docformatter-1.7.5-r3.apk | 24.8 KiB | 2024-04-15 21:51:31 |
perl-ryu-3.005-r0.apk | 24.8 KiB | 2024-01-15 21:00:53 |
libm4rie-dev-20200125-r3.apk | 24.8 KiB | 2023-08-01 12:38:02 |
libcyaml-static-1.4.1-r2.apk | 24.8 KiB | 2024-01-11 21:56:38 |
olsrd-doc-0.9.8-r2.apk | 24.8 KiB | 2022-11-02 08:48:42 |
freealut-dev-1.1.0-r1.apk | 24.8 KiB | 2022-07-26 07:50:42 |
perl-nice-try-1.3.10-r0.apk | 24.9 KiB | 2024-03-28 20:31:01 |
perl-mojo-redis-3.29-r0.apk | 24.9 KiB | 2024-01-12 13:03:55 |
php81-common-8.1.28-r0.apk | 24.9 KiB | 2024-04-10 23:39:34 |
lshell-doc-0.9.18-r11.apk | 24.9 KiB | 2024-04-15 21:51:19 |
php81-pecl-msgpack-2.2.0-r0.apk | 24.9 KiB | 2024-04-10 23:39:35 |
thunarx-python-doc-0.5.2-r2.apk | 24.9 KiB | 2024-04-16 16:34:55 |
py3-pockethernet-pyc-0.7.0-r3.apk | 25.0 KiB | 2024-04-15 21:52:00 |
p0f-doc-3.09b-r2.apk | 25.0 KiB | 2022-11-02 08:48:45 |
py3-utils-3.8.1-r1.apk | 25.1 KiB | 2024-04-15 21:52:05 |
pdfcrack-0.20-r0.apk | 25.1 KiB | 2022-12-17 22:31:56 |
crossplane-0.5.8-r2.apk | 25.1 KiB | 2024-04-15 21:50:55 |
py3-flask-mailman-pyc-1.0.0-r1.apk | 25.1 KiB | 2024-04-15 21:51:35 |
curtail-1.9.1-r0.apk | 25.2 KiB | 2024-04-15 21:50:55 |
perl-promise-me-0.4.11-r0.apk | 25.2 KiB | 2024-04-27 08:34:19 |
py3-nmap-pyc-0.7.1-r3.apk | 25.2 KiB | 2024-04-15 21:51:55 |
lua5.1-lcurses-9.0.0-r0.apk | 25.3 KiB | 2018-01-05 13:55:09 |
ssh-tools-1.8-r0.apk | 25.3 KiB | 2024-03-16 21:30:51 |
perl-number-tolerant-doc-1.710-r0.apk | 25.4 KiB | 2023-08-10 11:44:10 |
zita-resampler-1.10.1-r0.apk | 25.4 KiB | 2023-03-18 16:59:09 |
ibus-rime-1.5.0-r1.apk | 25.4 KiB | 2023-09-18 11:42:39 |
py3-nose-of-yeti-2.4.8-r1.apk | 25.5 KiB | 2024-04-15 21:51:55 |
khronos-lang-4.0.1-r0.apk | 25.5 KiB | 2023-10-15 13:20:26 |
py3-snapshottest-pyc-0.6.0-r4.apk | 25.5 KiB | 2024-04-19 14:28:12 |
mpvpaper-1.5-r0.apk | 25.5 KiB | 2024-05-04 11:21:58 |
hilbish-doc-2.2.3-r0.apk | 25.5 KiB | 2024-05-04 09:17:25 |
ocaml-trie-dev-1.0.0-r2.apk | 25.6 KiB | 2024-03-24 02:12:51 |
gtksourceviewmm4-devhelp-3.91.1-r2.apk | 25.6 KiB | 2023-04-15 23:17:23 |
tnef-1.4.18-r0.apk | 25.6 KiB | 2024-04-01 18:10:16 |
py3-asif-pyc-0.3.2-r2.apk | 25.6 KiB | 2024-04-15 21:51:28 |
ocaml-pbkdf-dev-1.2.0-r2.apk | 25.7 KiB | 2024-03-24 02:12:25 |
py3-pyroma-pyc-4.2-r0.apk | 25.7 KiB | 2024-04-15 21:52:00 |
dmarc-metrics-exporter-1.0.0-r2.apk | 25.8 KiB | 2024-04-15 21:50:55 |
py3-parver-pyc-0.5-r1.apk | 25.8 KiB | 2024-04-15 14:28:21 |
php81-zip-8.1.28-r0.apk | 25.8 KiB | 2024-04-10 23:39:36 |
zarchive-libs-0.1.2-r2.apk | 25.8 KiB | 2023-08-07 20:54:23 |
perl-rxperl-6.28.0-r0.apk | 25.8 KiB | 2024-01-19 00:19:06 |
py3-manuel-pyc-1.12.4-r2.apk | 25.9 KiB | 2024-04-15 21:51:54 |
ocaml-uuidm-dev-0.9.8-r2.apk | 25.9 KiB | 2024-03-24 02:12:52 |
py3-flask-limiter-3.6.0-r0.apk | 25.9 KiB | 2024-04-22 08:28:23 |
pcsc-perl-1.4.16-r0.apk | 26.0 KiB | 2023-12-03 21:19:41 |
wol-0.7.1-r2.apk | 26.0 KiB | 2023-08-17 17:12:52 |
py3-pyvows-3.0.0-r4.apk | 26.0 KiB | 2024-04-15 21:52:01 |
py3-dunamai-1.21.0-r0.apk | 26.0 KiB | 2024-04-30 18:19:08 |
scrypt-1.3.2-r0.apk | 26.1 KiB | 2023-10-03 09:43:12 |
perl-promise-xs-0.20-r0.apk | 26.1 KiB | 2024-01-19 00:19:06 |
dehydrated-0.7.1-r0.apk | 26.2 KiB | 2023-01-20 22:16:16 |
py3-soapy_power-pyc-1.6.1-r4.apk | 26.3 KiB | 2024-04-15 21:52:02 |
jedi-language-server-0.41.4-r0.apk | 26.3 KiB | 2024-04-17 13:39:30 |
xfce4-timer-plugin-1.7.2-r0.apk | 26.3 KiB | 2023-04-18 20:18:36 |
charls-dev-2.4.2-r0.apk | 26.3 KiB | 2023-11-03 02:19:34 |
libubox-20230523-r0.apk | 26.4 KiB | 2023-08-06 19:59:31 |
kdiskmark-lang-3.1.4-r1.apk | 26.4 KiB | 2023-10-17 16:44:00 |
ocaml-mirage-profile-0.9.1-r3.apk | 26.5 KiB | 2024-03-24 02:12:20 |
py3-feedgenerator-pyc-2.1.0-r1.apk | 26.5 KiB | 2024-04-15 21:51:33 |
py3-liblarch-3.2.0-r4.apk | 26.5 KiB | 2024-04-15 21:51:38 |
ip2location-8.6.1-r0.apk | 26.5 KiB | 2023-06-06 19:00:07 |
debconf-doc-1.5.82-r0.apk | 26.5 KiB | 2023-03-16 14:39:13 |
xfce4-netload-plugin-1.4.1-r0.apk | 26.6 KiB | 2023-08-04 15:01:27 |
nm-tray-lang-0.5.0-r0.apk | 26.6 KiB | 2024-01-28 22:12:59 |
py3-numpy-stl-pyc-3.0.1-r2.apk | 26.7 KiB | 2024-04-15 21:51:55 |
py3-dataclasses-json-0.6.4-r1.apk | 26.8 KiB | 2024-04-15 21:51:31 |
mcjoin-2.11-r0.apk | 26.8 KiB | 2022-09-12 08:37:13 |
py3-evohome-client-pyc-0.3.7-r3.apk | 26.8 KiB | 2024-04-15 21:51:33 |
bordeaux-doc-0.8.1-r0.apk | 26.8 KiB | 2024-02-28 00:46:15 |
arc-dark-gnome-20221218-r0.apk | 26.8 KiB | 2023-01-07 13:34:32 |
py3-pyte-0.8.2-r1.apk | 26.8 KiB | 2024-04-15 21:52:00 |
gtkwave-doc-3.3.117-r0.apk | 26.9 KiB | 2023-11-16 21:06:31 |
ocaml-duration-0.2.0-r2.apk | 26.9 KiB | 2024-03-24 02:12:07 |
sipgrep-2.2.0-r0.apk | 26.9 KiB | 2024-05-08 07:14:50 |
vcsh-doc-2.0.5-r0.apk | 26.9 KiB | 2023-06-08 21:49:05 |
dwl-0.5-r1.apk | 26.9 KiB | 2024-03-14 08:36:00 |
py3-mbedtls-pyc-2.10.1-r1.apk | 27.1 KiB | 2024-04-28 19:13:56 |
libmysofa-1.3.2-r0.apk | 27.1 KiB | 2023-11-03 23:43:00 |
libtsm-4.0.2-r0.apk | 27.1 KiB | 2022-10-05 00:06:44 |
mkdocs-bootstrap-1.1.1-r1.apk | 27.2 KiB | 2024-04-15 21:51:19 |
perl-gearman-2.004.015-r3.apk | 27.2 KiB | 2024-01-04 01:13:43 |
py3-sphinx-autodoc-typehints-pyc-2.0.1-r0.apk | 27.3 KiB | 2024-04-13 17:05:21 |
stgit-emacs-1.3-r5.apk | 27.3 KiB | 2024-04-15 21:52:07 |
py3-qbittorrent-api-doc-2024.3.60-r0.apk | 27.4 KiB | 2024-04-01 18:10:16 |
py3-timeago-pyc-1.0.16-r0.apk | 27.4 KiB | 2024-05-05 05:25:01 |
py3-progressbar2-4.2.0-r2.apk | 27.4 KiB | 2024-04-15 21:52:00 |
py3-bidict-0.23.1-r1.apk | 27.5 KiB | 2024-04-15 21:51:29 |
dcnnt-0.10.0-r1.apk | 27.5 KiB | 2024-04-15 21:50:55 |
jdupes-1.27.3-r0.apk | 27.6 KiB | 2023-08-27 20:24:26 |
py3-spotipy-2.23.0-r2.apk | 27.6 KiB | 2024-04-15 21:52:03 |
php81-pecl-ssh2-1.4.1-r0.apk | 27.6 KiB | 2024-04-10 23:39:35 |
merlin-vim-4.14-r0.apk | 27.6 KiB | 2024-03-24 02:11:49 |
octoprint-filecheck-2024.3.27-r0.apk | 27.7 KiB | 2024-04-16 00:40:05 |
shadowsocks-libev-doc-3.3.5-r4.apk | 27.7 KiB | 2024-04-13 17:05:21 |
eclib-doc-20231212-r1.apk | 27.8 KiB | 2024-04-22 18:58:09 |
pmccabe-2.8-r1.apk | 27.8 KiB | 2022-11-02 08:48:53 |
ecos-dev-2.0.10-r0.apk | 27.9 KiB | 2022-05-23 22:06:26 |
cliquer-libs-1.22-r2.apk | 27.9 KiB | 2023-08-01 12:37:56 |
py3-helper-pyc-2.5.0-r4.apk | 27.9 KiB | 2024-04-15 21:51:35 |
rvlprog-0.91-r1.apk | 28.0 KiB | 2022-11-02 08:49:08 |
gtksourceviewmm3-devhelp-3.21.3-r2.apk | 28.1 KiB | 2023-04-15 23:16:29 |
trigger-rally-doc-0.6.7-r2.apk | 28.2 KiB | 2024-01-02 08:55:15 |
libaudec-0.3.4-r3.apk | 28.2 KiB | 2023-07-29 20:02:48 |
gmic-bash-completion-3.3.5-r0.apk | 28.2 KiB | 2024-04-26 13:48:31 |
tre-0.8.0-r2.apk | 28.2 KiB | 2023-05-15 20:52:01 |
libwbxml-doc-0.11.8-r0.apk | 28.3 KiB | 2022-03-19 09:09:12 |
merlin-emacs-4.14-r0.apk | 28.3 KiB | 2024-03-24 02:11:49 |
py3-python-jose-3.3.0-r2.apk | 28.3 KiB | 2024-04-15 13:09:35 |
perl-mojolicious-plugin-openapi-5.09-r0.apk | 28.3 KiB | 2024-01-14 12:53:34 |
libaudec-tools-0.3.4-r3.apk | 28.3 KiB | 2023-07-29 20:02:48 |
perl-ffi-c-doc-0.15-r0.apk | 28.3 KiB | 2024-02-03 09:53:58 |
postgresql-hll-2.18-r0.apk | 28.4 KiB | 2023-12-17 21:27:45 |
arc-gnome-20221218-r0.apk | 28.4 KiB | 2023-01-07 13:34:32 |
ttfautohint-1.8.4-r0.apk | 28.4 KiB | 2024-05-06 10:51:57 |
libqb-dev-2.0.8-r0.apk | 28.4 KiB | 2023-08-03 20:00:14 |
py3-bidict-pyc-0.23.1-r1.apk | 28.5 KiB | 2024-04-15 21:51:29 |
py3-pygpgme-0.3.1-r8.apk | 28.5 KiB | 2024-04-15 21:52:00 |
py3-rospkg-1.2.9-r5.apk | 28.5 KiB | 2024-04-15 21:52:01 |
admesh-0.98.5-r0.apk | 28.5 KiB | 2022-12-05 10:14:27 |
perl-math-int64-0.57-r0.apk | 28.6 KiB | 2024-01-24 07:37:06 |
tree-sitter-ron-0_git20220508-r1.apk | 28.6 KiB | 2023-11-15 21:54:00 |
emacs-powerline-2.4_git20221110-r0.apk | 28.7 KiB | 2024-04-02 07:53:26 |
dinit-doc-0.18.0-r1.apk | 28.7 KiB | 2024-01-28 11:40:32 |
perl-database-async-doc-0.019-r0.apk | 28.8 KiB | 2024-01-17 12:12:35 |
bump2version-pyc-1.0.1-r6.apk | 28.9 KiB | 2024-04-15 21:50:53 |
lipstick-asteroidos-dev-2.0.0-r1.apk | 28.9 KiB | 2023-10-08 10:48:30 |
droidcam-gui-2.1.3-r0.apk | 28.9 KiB | 2024-04-05 23:23:31 |
py3-altgraph-pyc-0.17.4-r1.apk | 28.9 KiB | 2024-04-15 21:51:26 |
perl-barcode-zbar-0.10-r2.apk | 28.9 KiB | 2023-07-04 00:01:45 |
py3-tokenizers-pyc-0.15.2-r1.apk | 29.0 KiB | 2024-04-15 21:52:04 |
libguestfs-dev-1.52.0-r1.apk | 29.1 KiB | 2024-04-15 21:51:06 |
py3-aiodocker-0.21.0-r1.apk | 29.1 KiB | 2024-04-15 21:51:25 |
startup-bridge-udev-2.0.3-r4.apk | 29.1 KiB | 2023-07-01 05:25:17 |
sregex-dev-0.0.1-r1.apk | 29.1 KiB | 2022-11-02 08:49:16 |
octoprint-firmwarecheck-2021.10.11-r1.apk | 29.1 KiB | 2024-04-16 00:40:05 |
py3-pymata-pyc-2.20-r3.apk | 29.2 KiB | 2024-04-15 21:52:00 |
perl-algorithm-backoff-doc-0.010-r0.apk | 29.2 KiB | 2024-04-16 11:29:09 |
startup-bridge-dconf-2.0.3-r4.apk | 29.2 KiB | 2023-07-01 05:25:17 |
perl-sql-abstract-classic-1.91-r1.apk | 29.3 KiB | 2023-07-04 00:01:46 |
fpp-0.9.5-r0.apk | 29.3 KiB | 2022-02-14 22:13:02 |
tang-dbg-14-r0.apk | 29.3 KiB | 2023-07-23 13:56:46 |
py3-wsgiprox-pyc-1.5.2-r0.apk | 29.3 KiB | 2023-10-28 23:53:47 |
materia-light-kde-kvantum-20220823-r0.apk | 29.3 KiB | 2023-03-19 22:42:03 |
php83-pecl-eio-3.1.3-r0.apk | 29.4 KiB | 2024-03-02 22:38:20 |
slidge-matridge-0_git20240208-r1.apk | 29.4 KiB | 2024-04-15 21:52:07 |
fatback-1.3-r2.apk | 29.4 KiB | 2022-11-02 08:47:07 |
libnxml-dev-0.18.3-r0.apk | 29.4 KiB | 2019-03-19 11:28:08 |
perl-xml-bare-0.53-r12.apk | 29.5 KiB | 2023-10-17 11:19:33 |
dnscrypt-wrapper-0.4.2-r3.apk | 29.5 KiB | 2023-12-19 15:01:38 |
py3-sphinx-theme-epfl-1.1.1-r9.apk | 29.6 KiB | 2024-04-15 21:52:02 |
lrcalc-libs-2.1-r1.apk | 29.6 KiB | 2023-05-15 20:51:52 |
solanum-lang-3.0.1_git20220607-r1.apk | 29.6 KiB | 2023-02-13 14:23:31 |
materia-kde-kvantum-20220823-r0.apk | 29.7 KiB | 2023-03-19 22:42:03 |
soapy-hackrf-0.3.4-r2.apk | 29.7 KiB | 2023-07-29 20:02:55 |
musikcube-plugin-supereqdsp-3.0.2-r1.apk | 29.7 KiB | 2023-12-13 20:21:34 |
asteroid-alarmclock-2.0.0-r0.apk | 29.7 KiB | 2023-08-31 09:43:21 |
py3-enzyme-pyc-0.4.1-r4.apk | 29.7 KiB | 2024-04-15 21:51:33 |
py3-empy-3.3.4-r6.apk | 29.7 KiB | 2024-04-15 21:51:33 |
libcork-dev-0.15.0-r7.apk | 29.7 KiB | 2023-12-07 15:23:54 |
materia-dark-kde-kvantum-20220823-r0.apk | 29.7 KiB | 2023-03-19 22:42:02 |
ffms2-doc-2.40-r0.apk | 29.8 KiB | 2023-12-30 13:46:21 |
linuxptp-nsm-4.2-r0.apk | 29.9 KiB | 2023-12-20 18:54:36 |
srain-lang-1.7.0-r0.apk | 29.9 KiB | 2024-05-01 06:29:31 |
lxappearance-0.6.3-r3.apk | 30.0 KiB | 2023-05-29 03:20:28 |
py3-mpd2-3.1.1-r1.apk | 30.0 KiB | 2024-04-15 21:51:55 |
py3-ovos-config-0.0.11-r1.apk | 30.0 KiB | 2024-04-15 21:51:59 |
curlpp-0.8.1-r1.apk | 30.0 KiB | 2022-11-02 08:46:53 |
perl-statistics-descriptive-3.0801-r0.apk | 30.0 KiB | 2023-07-13 13:39:55 |
par-doc-1.53.0-r1.apk | 30.1 KiB | 2022-11-02 08:48:45 |
fakeroot-tcp-1.32.1-r1.apk | 30.1 KiB | 2023-08-05 17:12:58 |
xfce4-places-plugin-1.8.3-r0.apk | 30.1 KiB | 2022-12-16 10:07:05 |
libxml++-dev-5.0.3-r1.apk | 30.1 KiB | 2023-04-29 21:47:16 |
py3-libnacl-pyc-2.1.0-r1.apk | 30.1 KiB | 2024-04-15 21:51:38 |
py3-gevent-websocket-pyc-0.10.1-r7.apk | 30.1 KiB | 2024-04-15 21:51:35 |
neo4j-client-2.2.0-r3.apk | 30.2 KiB | 2022-08-20 20:31:54 |
py3-findpython-pyc-0.6.1-r0.apk | 30.2 KiB | 2024-04-25 23:13:53 |
php81-exif-8.1.28-r0.apk | 30.3 KiB | 2024-04-10 23:39:35 |
py3-livestream-pyc-2.0.0-r2.apk | 30.4 KiB | 2024-04-17 02:55:21 |
xfce4-fsguard-plugin-lang-1.1.3-r0.apk | 30.4 KiB | 2023-08-03 07:35:42 |
ocp-index-doc-1.3.6-r0.apk | 30.4 KiB | 2024-03-24 02:12:56 |
py3-lunr-0.6.2-r3.apk | 30.4 KiB | 2024-04-15 21:51:54 |
ldapdomaindump-pyc-0.9.4-r1.apk | 30.4 KiB | 2024-04-15 21:51:05 |
libsigrok-dev-0.5.2-r2.apk | 30.4 KiB | 2023-05-15 20:51:51 |
blip-doc-0.10-r0.apk | 30.5 KiB | 2019-06-14 17:31:10 |
py3-rich-click-1.7.3-r1.apk | 30.5 KiB | 2024-04-15 21:52:01 |
ocaml-lwt_ssl-1.2.0-r0.apk | 30.6 KiB | 2024-04-22 06:21:39 |
py3-pymata4-pyc-1.15-r3.apk | 30.6 KiB | 2024-04-15 21:52:00 |
octoprint-pisupport-2023.10.10-r0.apk | 30.6 KiB | 2024-04-16 00:40:05 |
py3-qt.py-1.3.10-r0.apk | 30.6 KiB | 2024-04-15 21:52:01 |
py3-patatt-pyc-0.6.3-r1.apk | 30.7 KiB | 2024-04-15 21:51:59 |
libime-dev-1.1.7-r0.apk | 30.7 KiB | 2024-05-10 03:39:54 |
primecount-7.13-r0.apk | 30.7 KiB | 2024-05-01 17:13:10 |
tre-static-0.8.0-r2.apk | 30.7 KiB | 2023-05-15 20:52:01 |
py3-telemetrix-pyc-1.20-r2.apk | 30.8 KiB | 2024-04-15 21:52:04 |
i3status-rust-doc-0.33.1-r0.apk | 30.8 KiB | 2024-04-08 19:56:05 |
libbamf-doc-0.5.6-r1.apk | 30.8 KiB | 2023-10-20 07:21:52 |
getdns-1.7.3-r0.apk | 30.8 KiB | 2023-02-22 16:35:42 |
usbguard-dev-1.1.2-r8.apk | 30.8 KiB | 2024-01-03 19:29:59 |
jbigkit-dev-2.1-r2.apk | 30.9 KiB | 2022-11-02 08:47:25 |
qoiconv-0.0.0_git20230312-r0.apk | 30.9 KiB | 2023-03-17 06:15:21 |
pounce-3.1-r3.apk | 30.9 KiB | 2024-01-03 14:08:31 |
lomiri-indicator-location-0_git20231227-r0.apk | 31.0 KiB | 2024-03-15 17:51:12 |
php82-pecl-runkit7-4.0.0_alpha6-r1.apk | 31.0 KiB | 2024-03-29 11:24:21 |
lomiri-download-manager-lang-0.1.3-r1.apk | 31.0 KiB | 2024-04-22 18:58:31 |
ocaml-hex-1.5.0-r2.apk | 31.1 KiB | 2024-03-24 02:12:10 |
createrepo_c-dev-1.0.2-r1.apk | 31.1 KiB | 2024-04-15 21:50:55 |
swappy-1.5.1-r0.apk | 31.1 KiB | 2022-11-21 22:23:45 |
zita-njbridge-0.4.8-r1.apk | 31.2 KiB | 2022-11-02 08:49:41 |
paprefs-1.2-r1.apk | 31.2 KiB | 2023-08-01 04:56:01 |
usbguard-notifier-0.1.0-r0.apk | 31.2 KiB | 2023-02-09 00:59:20 |
rtmidi-6.0.0-r0.apk | 31.2 KiB | 2023-08-07 09:02:02 |
plplot-5.15.0-r2.apk | 31.2 KiB | 2022-11-02 08:48:53 |
perl-git-repository-doc-1.325-r0.apk | 31.2 KiB | 2024-02-05 16:10:06 |
materia-gnome-shell-20210322-r1.apk | 31.3 KiB | 2022-11-02 08:48:32 |
ccrtp-doc-2.1.2-r0.apk | 31.3 KiB | 2022-06-04 21:01:12 |
materia-dark-gnome-shell-20210322-r1.apk | 31.3 KiB | 2022-11-02 08:48:32 |
py3-pymeta3-pyc-0.5.1-r5.apk | 31.3 KiB | 2024-04-15 21:52:00 |
lomiri-location-service-dev-3.1.0-r0.apk | 31.3 KiB | 2023-11-14 01:08:39 |
materia-dark-compact-gnome-shell-20210322-r1.apk | 31.3 KiB | 2022-11-02 08:48:32 |
a2jmidid-9-r3.apk | 31.3 KiB | 2022-08-01 06:21:24 |
fcitx5-chewing-5.1.2-r0.apk | 31.4 KiB | 2024-05-10 03:39:43 |
randrctl-pyc-1.9.0-r5.apk | 31.4 KiB | 2024-04-15 21:52:06 |
lizardfs-cgi-3.13.0-r13.apk | 31.4 KiB | 2024-04-22 18:58:30 |
materia-compact-gnome-shell-20210322-r1.apk | 31.4 KiB | 2022-11-02 08:48:32 |
mm-common-doc-1.0.5-r0.apk | 31.4 KiB | 2023-01-01 22:06:45 |
mnamer-2.5.5-r1.apk | 31.5 KiB | 2024-04-15 21:51:20 |
php83-pecl-zmq-1.1.4-r0.apk | 31.5 KiB | 2023-11-22 10:55:02 |
plattenalbum-2.1.0-r0.apk | 31.5 KiB | 2024-05-07 19:58:26 |
libibmad-1.3.13-r2.apk | 31.5 KiB | 2023-05-15 20:51:50 |
ovos-gui-pyc-0.0.3_alpha5-r1.apk | 31.5 KiB | 2024-04-15 21:51:24 |
py3-pymaging-pyc-0.0.20130908-r9.apk | 31.5 KiB | 2024-04-15 21:52:00 |
py3-ovos-bus-client-0.0.5-r1.apk | 31.5 KiB | 2024-04-15 21:51:59 |
py3-memory-profiler-0.61-r1.apk | 31.5 KiB | 2024-03-28 20:31:01 |
libthai-dev-0.1.29-r0.apk | 31.6 KiB | 2022-02-05 16:42:26 |
xfce4-systemload-plugin-1.3.2-r0.apk | 31.6 KiB | 2023-05-02 15:20:36 |
libfort-0.4.2-r0.apk | 31.6 KiB | 2021-10-03 06:14:26 |
py3-sphinx-autoapi-3.0.0-r1.apk | 31.6 KiB | 2024-04-15 21:52:02 |
isomd5sum-1.2.3-r2.apk | 31.6 KiB | 2022-11-27 16:49:36 |
perl-file-mmagic-xs-0.09008-r3.apk | 31.6 KiB | 2023-07-04 00:01:46 |
ocaml-ca-certs-0.2.2-r2.apk | 31.7 KiB | 2024-03-24 02:11:54 |
php81-pecl-luasandbox-4.1.2-r0.apk | 31.7 KiB | 2024-04-10 23:39:35 |
py3-pysonic-pyc-1.0.1-r1.apk | 31.7 KiB | 2024-04-15 21:52:00 |
libaudec-static-0.3.4-r3.apk | 31.7 KiB | 2023-07-29 20:02:48 |
lomiri-trust-store-lang-2.0.2-r0.apk | 31.8 KiB | 2024-02-06 23:41:36 |
py3-sabctools-8.1.0-r1.apk | 31.8 KiB | 2024-04-15 21:52:01 |
libsymmetrica-dev-3.0.1-r2.apk | 31.8 KiB | 2023-08-01 12:38:03 |
py3-c3d-0.5.2-r1.apk | 31.8 KiB | 2024-04-15 21:51:30 |
py3-nptyping-pyc-2.5.0-r2.apk | 31.8 KiB | 2024-04-13 17:05:21 |
libmrss-dev-0.19.2-r1.apk | 31.8 KiB | 2021-07-18 09:15:07 |
tcl-curl-7.22.0-r0.apk | 31.9 KiB | 2023-01-18 19:33:53 |
mat2-0.13.4-r1.apk | 31.9 KiB | 2023-10-19 16:18:21 |
py3-yapsy-1.12.2-r7.apk | 32.0 KiB | 2024-04-15 21:52:05 |
py3-radon-6.0.1-r1.apk | 32.0 KiB | 2024-04-15 21:52:01 |
ocaml-mirage-profile-dev-0.9.1-r3.apk | 32.0 KiB | 2024-03-24 02:12:20 |
mp3gain-1.6.2-r2.apk | 32.0 KiB | 2023-09-25 19:27:14 |
py3-ovos-config-pyc-0.0.11-r1.apk | 32.1 KiB | 2024-04-15 21:51:59 |
py3-pathvalidate-pyc-3.2.0-r1.apk | 32.1 KiB | 2024-04-15 21:51:59 |
reaction-tools-1.3.0-r2.apk | 32.1 KiB | 2024-04-07 00:50:26 |
handlebars-dev-1.0.0-r1.apk | 32.1 KiB | 2023-05-15 20:51:45 |
btfs-2.24-r12.apk | 32.1 KiB | 2024-04-22 18:58:06 |
py3-pyzor-1.0.0-r10.apk | 32.2 KiB | 2024-04-15 21:52:01 |
py3-discogs-client-pyc-2.7-r2.apk | 32.2 KiB | 2024-04-15 21:51:31 |
unit-php81-1.32.1-r0.apk | 32.2 KiB | 2024-04-10 16:32:13 |
qt-jdenticon-0.3.0-r0.apk | 32.2 KiB | 2024-04-27 21:46:53 |
datamash-doc-1.8-r1.apk | 32.2 KiB | 2024-04-17 17:04:30 |
py3-django-suit-pyc-0.2.28-r7.apk | 32.3 KiB | 2024-04-15 21:51:31 |
py3-limits-3.11.0-r0.apk | 32.3 KiB | 2024-04-22 08:24:52 |
perl-css-object-doc-0.1.6-r0.apk | 32.3 KiB | 2024-02-24 12:50:42 |
py3-euclid3-pyc-0.01-r7.apk | 32.3 KiB | 2024-04-15 21:51:33 |
php81-ldap-8.1.28-r0.apk | 32.4 KiB | 2024-04-10 23:39:35 |
rdrview-0.1.1-r0.apk | 32.4 KiB | 2024-03-05 00:39:42 |
perl-opentracing-doc-1.006-r0.apk | 32.5 KiB | 2024-01-15 21:00:53 |
py3-pyautogui-0.9.53-r4.apk | 32.5 KiB | 2024-04-15 21:52:00 |
py3-ffmpeg-pyc-0.2.0-r3.apk | 32.5 KiB | 2024-04-15 21:51:33 |
musikcube-plugin-openmpt-3.0.2-r1.apk | 32.6 KiB | 2023-12-13 20:21:34 |
py3-dkimpy-1.1.6-r0.apk | 32.7 KiB | 2024-04-24 21:06:47 |
cluster-glue-doc-1.0.12-r5.apk | 32.7 KiB | 2023-04-29 21:47:14 |
ngs-aws-0.2.14-r0.apk | 32.7 KiB | 2022-10-08 22:05:12 |
py3-trivup-0.12.2-r1.apk | 32.7 KiB | 2024-04-15 21:52:04 |
perl-mastodon-client-doc-0.017-r0.apk | 32.7 KiB | 2024-01-13 11:52:05 |
dfl-sni-0.2.0-r0.apk | 32.7 KiB | 2023-12-30 13:27:24 |
ocaml-mirage-clock-4.2.0-r2.apk | 32.8 KiB | 2024-03-24 02:12:20 |
pptpclient-1.10.0-r4.apk | 32.8 KiB | 2023-07-04 00:01:46 |
mpop-doc-1.4.18-r0.apk | 32.9 KiB | 2023-01-31 22:01:29 |
py3-protego-0.3.0-r1.apk | 32.9 KiB | 2024-04-15 21:52:00 |
perl-json-validator-doc-5.14-r0.apk | 33.0 KiB | 2024-01-14 12:53:34 |
ocaml-ezxmlm-1.1.0-r0.apk | 33.0 KiB | 2024-04-22 06:21:33 |
libm4ri-dev-20200125-r4.apk | 33.0 KiB | 2023-08-01 12:38:02 |
lomiri-indicator-location-lang-0_git20231227-r0.apk | 33.0 KiB | 2024-03-15 17:51:12 |
jhead-3.08-r0.apk | 33.0 KiB | 2023-07-23 13:58:29 |
linuxptp-doc-4.2-r0.apk | 33.1 KiB | 2023-12-20 18:54:36 |
apk-tools3-doc-3.0.0_pre2_git20240401-r0.apk | 33.1 KiB | 2024-04-02 19:48:16 |
lomiri-api-dev-0.2.1-r0.apk | 33.1 KiB | 2023-11-04 16:55:09 |
linuxptp-pmc-4.2-r0.apk | 33.1 KiB | 2023-12-20 18:54:36 |
moosefs-metalogger-3.0.117-r1.apk | 33.2 KiB | 2023-06-17 21:33:41 |
tree-sitter-hare-0_git20230616-r1.apk | 33.2 KiB | 2023-11-15 21:54:00 |
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk | 33.2 KiB | 2024-04-15 21:52:03 |
php81-imap-8.1.28-r0.apk | 33.3 KiB | 2024-04-10 23:39:35 |
tangram-3.0-r1.apk | 33.3 KiB | 2023-04-29 21:47:35 |
py3-dominate-pyc-2.9.1-r1.apk | 33.5 KiB | 2024-04-15 21:51:32 |
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk | 33.5 KiB | 2024-01-14 12:53:34 |
spacenavd-1.2-r0.apk | 33.6 KiB | 2023-02-25 14:06:02 |
py3-xapp-2.4.1-r1.apk | 33.6 KiB | 2024-04-15 21:52:05 |
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk | 33.6 KiB | 2024-04-15 21:52:03 |
power-profiles-daemon-0.20-r1.apk | 33.6 KiB | 2024-04-02 07:53:30 |
py3-furl-pyc-2.1.3-r2.apk | 33.7 KiB | 2023-04-22 12:56:25 |
getdns-dev-1.7.3-r0.apk | 33.7 KiB | 2023-02-22 16:35:42 |
aufs-util-doc-20161219-r2.apk | 33.7 KiB | 2023-12-07 15:23:54 |
trantor-dev-1.5.18-r0.apk | 33.7 KiB | 2024-05-05 05:25:03 |
noson-dev-2.10.3-r0.apk | 33.8 KiB | 2023-06-10 15:23:43 |
py3-manuel-1.12.4-r2.apk | 33.9 KiB | 2024-04-15 21:51:54 |
py3-puremagic-1.21-r1.apk | 33.9 KiB | 2024-04-15 21:52:00 |
py3-mopidy-local-pyc-3.2.1-r3.apk | 33.9 KiB | 2024-04-15 21:51:55 |
py3-piccata-pyc-2.0.3-r1.apk | 34.0 KiB | 2024-04-15 21:51:59 |
fox-calculator-1.6.57-r0.apk | 34.0 KiB | 2022-08-08 10:58:08 |
py3-pymaging-png-0.0.20130727-r9.apk | 34.0 KiB | 2024-04-15 21:52:00 |
aravis-dev-0.8.31-r0.apk | 34.1 KiB | 2024-03-16 13:29:14 |
caffeine-ng-lang-4.2.0-r1.apk | 34.1 KiB | 2024-04-16 01:33:13 |
crosstool-ng-doc-1.25.0-r0.apk | 34.1 KiB | 2022-12-30 12:27:34 |
foolsm-1.0.21-r0.apk | 34.1 KiB | 2022-05-21 12:41:34 |
bgpq4-1.12-r0.apk | 34.3 KiB | 2024-02-13 22:39:13 |
py3-redmine-2.4.0-r3.apk | 34.3 KiB | 2024-04-15 21:52:01 |
sblim-sfcc-doc-2.2.8-r2.apk | 34.3 KiB | 2023-05-15 20:51:56 |
jalv-gtk-1.6.8-r1.apk | 34.4 KiB | 2023-07-29 20:02:48 |
ruff-lsp-pyc-0.0.53-r0.apk | 34.4 KiB | 2024-04-16 11:27:25 |
dfl-applications-0.2.0-r0.apk | 34.4 KiB | 2023-12-30 13:27:24 |
py3-pysonic-1.0.1-r1.apk | 34.5 KiB | 2024-04-15 21:52:00 |
crazydiskinfo-1.1.0-r1.apk | 34.5 KiB | 2022-11-02 08:46:46 |
dfu-programmer-1.1.0-r0.apk | 34.5 KiB | 2023-07-10 20:18:47 |
nwg-displays-pyc-0.3.13-r1.apk | 34.5 KiB | 2024-04-15 21:51:20 |
vcstool-0.3.0-r5.apk | 34.5 KiB | 2024-04-15 21:52:07 |
mint-x-theme-xfwm4-2.1.1-r0.apk | 34.5 KiB | 2023-06-12 15:01:22 |
py3-dataclasses-json-pyc-0.6.4-r1.apk | 34.6 KiB | 2024-04-15 21:51:31 |
lomiri-api-0.2.1-r0.apk | 34.6 KiB | 2023-11-04 16:55:09 |
libqtdbustest-0.3.2-r0.apk | 34.6 KiB | 2023-11-04 16:55:09 |
tree-sitter-xml-0.6.2-r0.apk | 34.6 KiB | 2024-03-25 19:57:48 |
perl-net-mqtt-doc-1.163170-r0.apk | 34.6 KiB | 2024-04-16 17:38:49 |
cocogitto-doc-6.1.0-r0.apk | 34.6 KiB | 2024-03-15 12:15:31 |
linuxptp-ts2phc-4.2-r0.apk | 34.7 KiB | 2023-12-20 18:54:36 |
dnsperf-doc-2.14.0-r0.apk | 34.7 KiB | 2024-01-19 09:40:36 |
py3-latex2mathml-pyc-3.77.0-r1.apk | 34.7 KiB | 2024-04-15 21:51:38 |
luapak-0.1.0_beta5-r0.apk | 34.8 KiB | 2017-07-26 11:57:35 |
pimd-doc-3.0_git20220201-r0.apk | 34.8 KiB | 2022-03-06 10:30:40 |
qperf-0.4.11-r1.apk | 34.8 KiB | 2022-11-02 08:49:04 |
bcg729-1.1.1-r0.apk | 34.9 KiB | 2020-12-02 08:33:12 |
perl-ryu-doc-3.005-r0.apk | 34.9 KiB | 2024-01-15 21:00:53 |
lshell-pyc-0.9.18-r11.apk | 35.1 KiB | 2024-04-15 21:51:19 |
usbmuxd-1.1.1-r6.apk | 35.1 KiB | 2024-02-05 19:32:07 |
py3-cookiecutter-2.6.0-r1.apk | 35.1 KiB | 2024-04-15 21:51:30 |
py3-bitstruct-8.19.0-r1.apk | 35.2 KiB | 2024-04-15 21:51:29 |
turnstile-0.1.8-r0.apk | 35.2 KiB | 2023-09-03 04:03:32 |
ocaml-mirage-clock-dev-4.2.0-r2.apk | 35.2 KiB | 2024-03-24 02:12:20 |
py3-tidalapi-0.7.4-r1.apk | 35.3 KiB | 2024-04-15 21:52:04 |
py3-tg-0.19.0-r4.apk | 35.3 KiB | 2024-04-15 21:52:04 |
py3-mopidy-tidal-pyc-0.3.2-r5.apk | 35.4 KiB | 2024-04-15 21:51:55 |
py3-qasync-0.19.0-r1.apk | 35.4 KiB | 2024-04-15 21:52:01 |
php81-pecl-igbinary-3.2.15-r0.apk | 35.4 KiB | 2024-04-10 23:39:35 |
gutenprint-dev-5.3.4-r3.apk | 35.5 KiB | 2023-05-21 17:06:52 |
razercfg-pyc-0.42-r6.apk | 35.5 KiB | 2024-04-15 21:52:06 |
py3-librtmp-0.3.0-r6.apk | 35.5 KiB | 2024-04-15 21:51:38 |
cliquer-static-1.22-r2.apk | 35.5 KiB | 2023-08-01 12:37:56 |
coventry-doc-0.8.1-r0.apk | 35.6 KiB | 2024-02-24 15:18:14 |
py3-docformatter-pyc-1.7.5-r3.apk | 35.8 KiB | 2024-04-15 21:51:31 |
py3-mando-pyc-0.7.1-r2.apk | 35.8 KiB | 2024-04-15 21:51:54 |
tabby-3.1-r1.apk | 35.8 KiB | 2023-11-25 23:42:13 |
php81-pecl-rdkafka-6.0.3-r2.apk | 35.8 KiB | 2024-04-10 23:39:35 |
fcitx5-gtk4-5.1.3-r0.apk | 35.9 KiB | 2024-05-10 03:39:43 |
xfce4-docklike-plugin-lang-0.4.2-r0.apk | 35.9 KiB | 2023-12-26 23:00:05 |
php81-sockets-8.1.28-r0.apk | 35.9 KiB | 2024-04-10 23:39:36 |
py3-cstruct-pyc-5.3-r1.apk | 35.9 KiB | 2024-04-15 21:51:30 |
opentelemetry-cpp-exporter-otlp-common-1.11.0-r2.apk | 35.9 KiB | 2023-11-15 14:38:33 |
py3-rpio-0.10.1-r7.apk | 35.9 KiB | 2024-04-15 21:52:01 |
php81-curl-8.1.28-r0.apk | 36.0 KiB | 2024-04-10 23:39:34 |
ansible-bender-0.10.1-r2.apk | 36.0 KiB | 2024-04-13 17:05:19 |
g4music-lang-3.5.2-r0.apk | 36.0 KiB | 2024-04-25 14:35:57 |
py3-pytaglib-1.5.0-r3.apk | 36.1 KiB | 2024-04-15 21:52:00 |
linuxptp-phc2sys-4.2-r0.apk | 36.1 KiB | 2023-12-20 18:54:36 |
lshell-0.9.18-r11.apk | 36.2 KiB | 2024-04-15 21:51:19 |
musikcube-plugin-taglibreader-3.0.2-r1.apk | 36.3 KiB | 2023-12-13 20:21:34 |
tangram-lang-3.0-r1.apk | 36.3 KiB | 2023-04-29 21:47:35 |
py3-pyqrcode-1.2.1-r0.apk | 36.3 KiB | 2024-05-09 03:06:13 |
py3-nose-of-yeti-pyc-2.4.8-r1.apk | 36.3 KiB | 2024-04-15 21:51:55 |
libcli-1.10.7-r0.apk | 36.3 KiB | 2021-03-21 07:50:19 |
straw-viewer-doc-0.1.3-r2.apk | 36.4 KiB | 2023-07-04 00:01:49 |
burp-server-3.1.4-r0.apk | 36.4 KiB | 2023-03-17 19:03:00 |
py3-mopidy-jellyfin-pyc-1.0.4-r3.apk | 36.4 KiB | 2024-04-15 21:51:55 |
jack_capture-0.9.73_git20210429-r2.apk | 36.4 KiB | 2022-07-01 02:21:49 |
php81-pecl-oauth-2.0.7-r0.apk | 36.5 KiB | 2022-05-10 20:34:22 |
fcitx5-gtk3-5.1.3-r0.apk | 36.6 KiB | 2024-05-10 03:39:43 |
lomiri-calculator-app-lang-4.0.2-r0.apk | 36.6 KiB | 2024-03-15 17:51:11 |
spnavcfg-1.1-r0.apk | 36.6 KiB | 2023-02-13 06:41:13 |
py3-utils-pyc-3.8.1-r1.apk | 36.6 KiB | 2024-04-15 21:52:05 |
php81-session-8.1.28-r0.apk | 36.7 KiB | 2024-04-10 23:39:36 |
py3-astral-3.2-r3.apk | 36.8 KiB | 2024-04-15 21:51:28 |
php82-pecl-oauth-2.0.8-r0.apk | 36.8 KiB | 2022-12-12 16:43:06 |
perl-test-unit-0.25-r4.apk | 36.8 KiB | 2023-12-17 22:52:19 |
perl-libapreq2-doc-2.17-r1.apk | 36.8 KiB | 2023-07-04 00:01:46 |
libkkc-dev-0.3.5-r3.apk | 36.9 KiB | 2024-04-28 06:12:22 |
litterbox-1.9-r1.apk | 36.9 KiB | 2023-04-08 08:51:23 |
ocaml-rresult-dev-0.7.0-r2.apk | 37.0 KiB | 2024-03-24 02:12:48 |
py3-aesedb-0.1.6-r2.apk | 37.0 KiB | 2024-04-15 21:51:24 |
jedi-language-server-pyc-0.41.4-r0.apk | 37.1 KiB | 2024-04-17 13:39:30 |
ocaml-gmap-0.3.0-r2.apk | 37.2 KiB | 2024-03-24 02:12:09 |
py3-fastdiff-0.3.0-r4.apk | 37.2 KiB | 2024-04-15 21:51:33 |
py3-dexml-pyc-0.5.1-r9.apk | 37.2 KiB | 2024-04-15 21:51:31 |
perl-statistics-descriptive-doc-3.0801-r0.apk | 37.2 KiB | 2023-07-13 13:39:55 |
arc-gtk2-20221218-r0.apk | 37.3 KiB | 2023-01-07 13:34:32 |
arc-lighter-gtk2-20221218-r0.apk | 37.3 KiB | 2023-01-07 13:34:32 |
libcork-0.15.0-r7.apk | 37.3 KiB | 2023-12-07 15:23:54 |
libmedc-dev-4.1.1-r3.apk | 37.3 KiB | 2024-05-05 05:24:56 |
perl-protocol-database-postgresql-doc-2.001-r0.apk | 37.3 KiB | 2024-01-27 15:55:32 |
symbiyosys-0.36-r0.apk | 37.3 KiB | 2023-12-18 21:23:33 |
py3-pure_protobuf-pyc-3.0.1-r2.apk | 37.4 KiB | 2024-04-15 21:52:00 |
tcl-curl-doc-7.22.0-r0.apk | 37.4 KiB | 2023-01-18 19:33:53 |
flamegraph-1.0_git20220918-r1.apk | 37.4 KiB | 2023-12-19 15:01:39 |
py3-milc-pyc-1.8.0-r1.apk | 37.5 KiB | 2024-04-15 21:51:54 |
materia-dark-compact-gtk2-20210322-r1.apk | 37.5 KiB | 2022-11-02 08:48:32 |
materia-dark-gtk2-20210322-r1.apk | 37.5 KiB | 2022-11-02 08:48:32 |
slidge-matridge-pyc-0_git20240208-r1.apk | 37.5 KiB | 2024-04-15 21:52:07 |
materia-gtk2-20210322-r1.apk | 37.5 KiB | 2022-11-02 08:48:32 |
materia-compact-gtk2-20210322-r1.apk | 37.5 KiB | 2022-11-02 08:48:32 |
opensm-doc-3.3.24-r2.apk | 37.6 KiB | 2023-05-15 20:51:54 |
xfce4-mpc-plugin-lang-0.5.3-r0.apk | 37.6 KiB | 2023-08-02 08:08:41 |
moon-buggy-1.0.51-r1.apk | 37.7 KiB | 2022-11-02 08:48:37 |
py3-sh-2.0.6-r1.apk | 37.8 KiB | 2024-04-15 21:52:02 |
logwatch-doc-7.10-r1.apk | 37.8 KiB | 2024-05-05 15:28:29 |
php81-pecl-xmlrpc-1.0.0_rc3-r1.apk | 38.0 KiB | 2023-04-29 21:47:32 |
arc-dark-gtk2-20221218-r0.apk | 38.1 KiB | 2023-01-07 13:34:32 |
zycore-dev-1.5.0-r0.apk | 38.2 KiB | 2024-04-05 22:35:59 |
xfce4-hamster-plugin-1.17-r0.apk | 38.2 KiB | 2022-02-22 08:21:10 |
libtcmu-1.6.0-r5.apk | 38.2 KiB | 2023-09-01 08:03:18 |
arc-darker-gtk2-20221218-r0.apk | 38.2 KiB | 2023-01-07 13:34:32 |
howard-bc-doc-6.7.5-r0.apk | 38.3 KiB | 2024-01-08 09:44:32 |
py3-sphobjinv-2.3.1-r2.apk | 38.3 KiB | 2024-04-13 17:05:21 |
libctl-dev-4.5.1-r1.apk | 38.3 KiB | 2023-05-15 20:51:50 |
ecasound-doc-2.9.3-r3.apk | 38.4 KiB | 2023-09-25 19:27:14 |
libbsoncxx-dev-3.8.0-r0.apk | 38.4 KiB | 2023-08-19 06:40:42 |
py3-twiggy-pyc-0.5.1-r3.apk | 38.4 KiB | 2024-04-15 21:52:04 |
py3-dep-logic-pyc-0.2.0-r1.apk | 38.5 KiB | 2024-04-15 21:51:31 |
mergerfs-doc-2.38.1-r0.apk | 38.5 KiB | 2024-01-22 13:53:06 |
xfce4-systemload-plugin-lang-1.3.2-r0.apk | 38.5 KiB | 2023-05-02 15:20:36 |
spampd-2.61-r1.apk | 38.6 KiB | 2022-10-02 14:57:53 |
plfit-libs-0.9.4-r2.apk | 38.6 KiB | 2023-08-01 12:38:05 |
log4cpp-dev-1.1.4-r1.apk | 38.6 KiB | 2023-05-15 20:51:52 |
alttab-1.7.1-r0.apk | 38.8 KiB | 2023-06-09 22:20:51 |
theme.sh-1.1.5-r0.apk | 38.8 KiB | 2023-09-05 09:47:54 |
py3-zope-configuration-5.0.1-r2.apk | 38.9 KiB | 2024-04-15 21:52:05 |
m17n-lib-dev-1.8.4-r1.apk | 38.9 KiB | 2023-09-19 21:48:11 |
paprefs-lang-1.2-r1.apk | 38.9 KiB | 2023-08-01 04:56:01 |
prjtrellis-db-machxo-0_git20230929-r0.apk | 38.9 KiB | 2024-01-12 02:24:43 |
perl-net-curl-doc-0.56-r0.apk | 39.0 KiB | 2024-04-03 11:32:35 |
py3-python-iptables-1.0.1-r1.apk | 39.1 KiB | 2024-04-15 21:52:00 |
crossplane-pyc-0.5.8-r2.apk | 39.1 KiB | 2024-04-15 21:50:55 |
pixiewps-1.4.2-r1.apk | 39.1 KiB | 2022-07-26 07:52:26 |
py3-pyte-pyc-0.8.2-r1.apk | 39.2 KiB | 2024-04-15 21:52:00 |
fcitx5-lua-5.0.13-r0.apk | 39.3 KiB | 2024-05-10 03:39:43 |
py3-compdb-pyc-0.2.0-r7.apk | 39.4 KiB | 2024-04-15 21:51:30 |
py3-fpdf-1.7.2-r5.apk | 39.5 KiB | 2024-04-15 21:51:35 |
sigrok-cli-0.7.2-r0.apk | 39.5 KiB | 2022-09-19 10:28:40 |
apache2-mod-perl-dev-2.0.13-r0.apk | 39.5 KiB | 2023-10-22 13:00:41 |
libhx-4.21-r0.apk | 39.6 KiB | 2023-12-23 02:34:43 |
rtptools-1.22-r2.apk | 39.7 KiB | 2022-11-02 08:49:08 |
litehtml-dev-0.8-r2.apk | 39.7 KiB | 2023-08-01 12:38:04 |
py3-createrepo_c-1.0.2-r1.apk | 39.8 KiB | 2024-04-15 21:51:30 |
csol-1.6.0-r0.apk | 40.0 KiB | 2023-03-13 09:10:56 |
py3-feedgen-1.0.0-r1.apk | 40.0 KiB | 2024-04-15 21:51:33 |
php81-mysqli-8.1.28-r0.apk | 40.0 KiB | 2024-04-10 23:39:35 |
py3-pyvcd-pyc-0.4.0-r1.apk | 40.0 KiB | 2024-04-15 21:52:01 |
repo-doc-2.42-r0.apk | 40.0 KiB | 2024-03-04 23:18:57 |
peervpn-0.044-r5.apk | 40.0 KiB | 2022-08-04 06:44:43 |
xfce4-verve-plugin-lang-2.0.3-r0.apk | 40.0 KiB | 2023-08-07 09:01:19 |
libserialport-dev-0.1.1-r1.apk | 40.1 KiB | 2022-02-06 09:44:37 |
qspectrumanalyzer-2.2.0-r4.apk | 40.1 KiB | 2024-04-15 21:52:05 |
py3-rich-click-pyc-1.7.3-r1.apk | 40.1 KiB | 2024-04-15 21:52:01 |
squashfs-tools-ng-libs-1.3.1-r0.apk | 40.1 KiB | 2024-05-03 04:48:41 |
gmenuharness-0.1.4-r0.apk | 40.1 KiB | 2023-11-05 16:35:00 |
ovos-gui-0.0.3_alpha5-r1.apk | 40.2 KiB | 2024-04-15 21:51:24 |
py3-flask-restless-0.17.0-r9.apk | 40.2 KiB | 2024-04-15 21:51:35 |
bakelite-0.4.2-r0.apk | 40.3 KiB | 2022-04-28 16:37:41 |
libretro-freeintv-0_git20220319-r0.apk | 40.3 KiB | 2022-04-21 10:27:37 |
perl-net-pcap-0.21-r0.apk | 40.3 KiB | 2024-04-16 17:38:49 |
extundelete-0.2.4-r1.apk | 40.4 KiB | 2022-10-14 16:16:29 |
sblg-0.5.11-r0.apk | 40.4 KiB | 2023-02-06 19:24:11 |
libmpfi-1.5.4-r2.apk | 40.4 KiB | 2023-08-01 12:38:02 |
py3-ward-0.67.0_beta0-r2.apk | 40.5 KiB | 2024-04-15 21:52:05 |
avra-1.4.2-r0.apk | 40.5 KiB | 2023-08-21 05:36:51 |
youtube-viewer-doc-3.11.1-r0.apk | 40.5 KiB | 2024-03-14 08:40:42 |
sflowtool-6.02-r0.apk | 40.6 KiB | 2023-11-13 23:00:07 |
wput-0.6.2-r4.apk | 40.6 KiB | 2022-10-14 16:16:49 |
py3-ovos-backend-client-0.1.0_alpha13-r1.apk | 40.6 KiB | 2024-04-15 21:51:59 |
php81-pecl-immutable_cache-6.1.0-r0.apk | 40.6 KiB | 2022-12-04 03:49:58 |
py3-unearth-0.15.2-r0.apk | 40.7 KiB | 2024-04-25 19:39:49 |
lpac-2.0.1-r0.apk | 40.7 KiB | 2024-04-28 19:05:55 |
yices2-dev-2.6.4-r0.apk | 40.8 KiB | 2023-02-10 05:17:18 |
php82-pecl-immutable_cache-6.1.0-r0.apk | 40.8 KiB | 2022-12-04 03:49:58 |
ocaml-lwt-dllist-dev-1.0.1-r3.apk | 40.9 KiB | 2024-03-24 02:12:18 |
normaliz-3.10.2-r1.apk | 40.9 KiB | 2024-04-22 18:59:02 |
materia-dark-compact-gtk3-20210322-r1.apk | 40.9 KiB | 2022-11-02 08:48:32 |
materia-dark-gtk3-20210322-r1.apk | 40.9 KiB | 2022-11-02 08:48:32 |
py3-arpeggio-pyc-2.0.2-r1.apk | 41.1 KiB | 2024-04-15 14:28:21 |
libsigrokdecode-dev-0.5.3-r4.apk | 41.2 KiB | 2024-04-15 13:55:13 |
php81-pdo-8.1.28-r0.apk | 41.2 KiB | 2024-04-10 23:39:35 |
ocaml-rresult-0.7.0-r2.apk | 41.3 KiB | 2024-03-24 02:12:48 |
ocaml-ca-certs-dev-0.2.2-r2.apk | 41.3 KiB | 2024-03-24 02:11:54 |
mimeo-pyc-2023-r1.apk | 41.3 KiB | 2024-04-15 21:51:19 |
py3-markdown2-2.4.13-r1.apk | 41.3 KiB | 2024-04-15 21:51:54 |
imediff-2.6-r1.apk | 41.3 KiB | 2024-04-15 21:51:02 |
py3-diskcache-5.6.3-r1.apk | 41.4 KiB | 2024-04-15 21:51:31 |
lomiri-content-hub-lang-1.1.1-r0.apk | 41.4 KiB | 2024-02-10 13:52:53 |
gpg-remailer-3.04.07-r0.apk | 41.4 KiB | 2022-12-30 11:44:32 |
font-monocraft-3.0-r0.apk | 41.4 KiB | 2023-06-10 18:44:34 |
clapper-lang-0.6.0-r0.apk | 41.4 KiB | 2024-04-26 21:56:47 |
soapy-bladerf-0.4.1-r0.apk | 41.7 KiB | 2022-06-09 12:34:47 |
py3-mistletoe-1.2.1-r1.apk | 41.7 KiB | 2024-04-15 21:51:55 |
dooit-2.2.0-r1.apk | 41.7 KiB | 2024-04-15 21:50:55 |
azpainter-doc-3.0.7-r0.apk | 41.8 KiB | 2023-11-20 15:00:06 |
openfortivpn-1.21.0-r0.apk | 41.8 KiB | 2024-02-08 19:38:20 |
peg-0.1.18-r1.apk | 41.8 KiB | 2022-11-02 08:48:45 |
py3-pyparted-pyc-3.13.0-r1.apk | 41.9 KiB | 2024-04-15 21:52:00 |
py3-dunamai-pyc-1.21.0-r0.apk | 41.9 KiB | 2024-04-30 18:19:08 |
git-revise-pyc-0.7.0-r4.apk | 42.0 KiB | 2024-04-15 21:50:57 |
cava-0.10.1-r0.apk | 42.0 KiB | 2024-02-03 17:11:43 |
darts-clone-0_git20181117-r0.apk | 42.0 KiB | 2022-01-27 01:59:35 |
py3-seqdiag-pyc-3.0.0-r5.apk | 42.0 KiB | 2024-04-15 21:52:02 |
bordeaux-dev-0.8.1-r0.apk | 42.0 KiB | 2024-02-28 00:46:15 |
tree-sitter-make-0_git20211216-r2.apk | 42.0 KiB | 2023-11-15 21:54:00 |
py3-openwisp-utils-pyc-1.0.4-r2.apk | 42.0 KiB | 2024-04-15 21:51:58 |
kismet-nrf-51822-0.202307.1-r2.apk | 42.1 KiB | 2023-11-15 14:38:30 |
libfyaml-dev-0.9-r0.apk | 42.1 KiB | 2023-12-21 22:37:05 |
nuklear-doc-4.12.0-r0.apk | 42.2 KiB | 2024-02-18 01:31:55 |
py3-progressbar2-pyc-4.2.0-r2.apk | 42.2 KiB | 2024-04-15 21:52:00 |
py3-colander-pyc-2.0-r1.apk | 42.2 KiB | 2024-04-15 21:51:30 |
py3-syrupy-4.6.1-r1.apk | 42.3 KiB | 2024-04-15 21:52:03 |
py3-pebble-pyc-5.0.7-r1.apk | 42.4 KiB | 2024-04-15 21:51:59 |
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk | 42.5 KiB | 2024-04-15 21:52:02 |
py3-bookkeeper-4.16.2-r1.apk | 42.5 KiB | 2024-04-15 21:51:29 |
fast_float-5.2.0-r1.apk | 42.5 KiB | 2023-08-01 12:37:58 |
imapfilter-2.8.2-r0.apk | 42.6 KiB | 2023-12-31 19:40:32 |
py3-pysimplesoap-1.16.2-r6.apk | 42.8 KiB | 2024-04-15 21:52:00 |
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk | 42.8 KiB | 2024-03-24 02:12:10 |
perl-extutils-xsbuilder-0.28-r5.apk | 42.8 KiB | 2023-07-04 00:01:46 |
gf2x-1.3.0-r0.apk | 42.9 KiB | 2021-02-15 09:40:21 |
volumeicon-0.5.1-r1.apk | 42.9 KiB | 2022-11-02 08:49:36 |
py3-zope-schema-7.0.1-r2.apk | 43.0 KiB | 2024-04-15 21:52:05 |
php81-pecl-memcache-8.2-r1.apk | 43.0 KiB | 2024-04-10 23:39:35 |
py3-coreapi-pyc-2.3.3-r8.apk | 43.0 KiB | 2024-04-15 21:51:30 |
py3-cdio-pyc-2.1.1-r4.apk | 43.0 KiB | 2024-04-15 21:51:30 |
emacs-avy-0.5.0_git20230420-r0.apk | 43.2 KiB | 2024-04-02 07:53:25 |
xone-src-0.3_git20230517-r0.apk | 43.2 KiB | 2023-07-26 06:23:34 |
apulse-0.1.13-r1.apk | 43.2 KiB | 2022-11-02 08:46:34 |
hub-doc-2.14.2-r22.apk | 43.2 KiB | 2024-04-07 00:48:04 |
m17n-lib-tools-1.8.4-r1.apk | 43.2 KiB | 2023-09-19 21:48:11 |
rhasspy-nlu-0.4.0-r3.apk | 43.3 KiB | 2024-04-15 21:52:07 |
ecos-2.0.10-r0.apk | 43.4 KiB | 2022-05-23 22:06:26 |
perl-net-xmpp-doc-1.05-r0.apk | 43.4 KiB | 2024-01-12 13:03:55 |
imediff-pyc-2.6-r1.apk | 43.4 KiB | 2024-04-15 21:51:02 |
udpcast-20230924-r1.apk | 43.5 KiB | 2024-04-16 11:27:25 |
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk | 43.6 KiB | 2024-04-15 21:52:03 |
iir1-dev-1.9.4-r0.apk | 43.6 KiB | 2023-01-08 08:35:07 |
py3-rtree-pyc-1.1.0-r1.apk | 43.6 KiB | 2024-04-15 21:52:01 |
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r2.apk | 43.6 KiB | 2023-11-15 14:38:33 |
gnomecast-pyc-1.9.11_git20220423-r2.apk | 43.7 KiB | 2023-04-24 09:28:49 |
kismet-nxp-kw41z-0.202307.1-r2.apk | 43.7 KiB | 2023-11-15 14:38:30 |
py3-aiowinreg-pyc-0.0.10-r1.apk | 43.8 KiB | 2024-04-15 21:51:25 |
perl-xml-stream-1.24-r0.apk | 43.8 KiB | 2024-01-03 18:44:55 |
py3-shodan-1.31.0-r1.apk | 43.8 KiB | 2024-04-15 21:52:02 |
pebble-le-dev-0.3.0-r1.apk | 43.9 KiB | 2024-04-22 18:59:10 |
ocaml-mirage-kv-dev-4.0.1-r3.apk | 44.0 KiB | 2024-03-24 02:12:20 |
perl-sql-abstract-doc-2.000001-r2.apk | 44.0 KiB | 2023-07-04 00:01:46 |
xfce4-panel-profiles-lang-1.0.14-r1.apk | 44.1 KiB | 2023-12-11 08:36:09 |
py3-bandwidth-sdk-3.1.0-r7.apk | 44.2 KiB | 2024-04-15 21:51:29 |
xfce4-netload-plugin-lang-1.4.1-r0.apk | 44.2 KiB | 2023-08-04 15:01:27 |
granite7-dev-7.4.0-r0.apk | 44.3 KiB | 2023-12-23 01:34:55 |
libtommath-1.2.1-r0.apk | 44.3 KiB | 2023-10-06 16:21:43 |
ocaml-stringext-1.6.0-r2.apk | 44.4 KiB | 2024-03-24 02:12:50 |
git-filter-repo-2.38.0-r0.apk | 44.5 KiB | 2023-10-15 13:20:12 |
pantalaimon-0.10.5-r4.apk | 44.5 KiB | 2024-04-15 15:00:19 |
py3-litex-hub-pythondata-cpu-minerva-2023.12-r4.apk | 44.6 KiB | 2024-04-15 21:51:49 |
php81-pgsql-8.1.28-r0.apk | 44.7 KiB | 2024-04-10 23:39:35 |
liberasurecode-1.6.3-r1.apk | 44.9 KiB | 2023-05-15 20:51:50 |
ocaml-ethernet-3.0.0-r3.apk | 44.9 KiB | 2024-03-24 02:12:07 |
ettercap-doc-0.8.3.1-r2.apk | 44.9 KiB | 2022-10-21 21:53:25 |
sentinel-proxy-2.1.0-r0.apk | 45.1 KiB | 2023-11-18 17:33:12 |
libreoffice-voikko-5.0_git20200127-r0.apk | 45.1 KiB | 2023-06-13 17:29:05 |
py3-pyautogui-pyc-0.9.53-r4.apk | 45.1 KiB | 2024-04-15 21:52:00 |
ocaml-duration-dev-0.2.0-r2.apk | 45.1 KiB | 2024-03-24 02:12:07 |
ubase-20200605-r2.apk | 45.2 KiB | 2022-11-02 08:49:31 |
dislocker-libs-0.7.3-r5.apk | 45.3 KiB | 2024-04-13 17:05:19 |
glfw-wayland-dev-3.3.8-r3.apk | 45.4 KiB | 2023-07-04 00:03:18 |
ovos-skill-hello-world-0.0.4_alpha3-r1.apk | 45.4 KiB | 2024-04-15 21:51:24 |
tree-sitter-hcl-1.1.0-r1.apk | 45.4 KiB | 2023-11-15 21:54:00 |
kismet-linux-bluetooth-0.202307.1-r2.apk | 45.5 KiB | 2023-11-15 14:38:29 |
libbsoncxx-3.8.0-r0.apk | 45.5 KiB | 2023-08-19 06:40:42 |
lomiri-url-dispatcher-0.1.3-r1.apk | 45.7 KiB | 2024-04-15 21:51:19 |
ocfs2-tools-dev-1.8.7-r2.apk | 45.7 KiB | 2023-05-15 20:51:53 |
grommunio-index-1.0-r0.apk | 45.7 KiB | 2024-04-26 06:32:58 |
nvim-packer-0.0.0_git20220910-r0.apk | 45.7 KiB | 2022-10-13 20:59:39 |
lomiri-libusermetrics-lang-1.3.2-r0.apk | 45.7 KiB | 2024-02-06 23:41:36 |
py3-distorm3-3.5.2-r5.apk | 45.7 KiB | 2024-04-15 21:51:31 |
emacs-hydra-0.15.0_git20220910-r0.apk | 45.7 KiB | 2024-04-02 07:53:26 |
py3-mopidy-mpd-3.3.0-r4.apk | 45.8 KiB | 2024-04-15 21:51:55 |
py3-dogpile.cache-1.2.2-r1.apk | 46.0 KiB | 2024-04-15 21:51:31 |
php81-pecl-memcached-3.2.0-r3.apk | 46.1 KiB | 2024-04-10 23:39:35 |
libqofono-dev-0.122-r0.apk | 46.3 KiB | 2023-12-24 15:57:29 |
primesieve-12.3-r0.apk | 46.4 KiB | 2024-05-01 17:13:11 |
dmarc-metrics-exporter-pyc-1.0.0-r2.apk | 46.5 KiB | 2024-04-15 21:50:55 |
endeavour-dev-43.0-r1.apk | 46.5 KiB | 2024-03-23 19:39:57 |
py3-gls-1.3.1-r1.apk | 46.5 KiB | 2024-04-15 21:51:35 |
fcitx5-configtool-lang-5.1.5-r0.apk | 46.6 KiB | 2024-05-10 03:39:43 |
py3-marshmallow-3.21.1-r1.apk | 46.7 KiB | 2024-04-15 21:51:54 |
py3-soappy-0.52.28-r2.apk | 46.7 KiB | 2024-04-15 21:52:02 |
persistent-cache-cpp-1.0.7-r1.apk | 46.8 KiB | 2024-04-22 18:59:10 |
py3-ovos-ocp-files-plugin-0.13.0-r1.apk | 46.8 KiB | 2024-04-15 21:51:59 |
py3-rosdistro-0.9.0-r3.apk | 46.8 KiB | 2024-04-15 21:52:01 |
gpscorrelate-2.0_git20230605-r0.apk | 46.9 KiB | 2023-06-15 06:35:22 |
py3-yapsy-pyc-1.12.2-r7.apk | 46.9 KiB | 2024-04-15 21:52:05 |
py3-pycosat-0.6.6-r1.apk | 46.9 KiB | 2024-04-15 21:52:00 |
py3-dt-schema-pyc-2024.04-r0.apk | 47.0 KiB | 2024-04-19 02:47:51 |
ocaml-uuidm-0.9.8-r2.apk | 47.0 KiB | 2024-03-24 02:12:52 |
py3-pyqrcode-pyc-1.2.1-r0.apk | 47.0 KiB | 2024-05-09 03:06:13 |
acmetool-doc-0.2.2-r5.apk | 47.1 KiB | 2024-04-07 00:45:34 |
spiritvnc-0.6.0-r0.apk | 47.1 KiB | 2023-12-18 01:36:33 |
postgresql-pg_partman-doc-5.0.0-r0.apk | 47.1 KiB | 2023-12-17 21:56:38 |
uxn-1.0-r0.apk | 47.2 KiB | 2024-03-23 15:22:33 |
py3-cookiecutter-pyc-2.6.0-r1.apk | 47.2 KiB | 2024-04-15 21:51:30 |
py3-flask-limiter-pyc-3.6.0-r0.apk | 47.3 KiB | 2024-04-22 08:28:23 |
polyglot-doc-2.0.4-r1.apk | 47.3 KiB | 2023-08-01 12:38:05 |
startup-doc-2.0.3-r4.apk | 47.4 KiB | 2023-07-01 05:25:17 |
bananui-daemons-0.1.0-r0.apk | 47.4 KiB | 2023-10-06 06:01:43 |
otf-atkinson-hyperlegible-doc-2020.0514-r0.apk | 47.5 KiB | 2020-11-22 21:12:14 |
perl-regexp-grammars-doc-1.058-r0.apk | 47.6 KiB | 2024-01-25 17:01:41 |
clinfo-3.0.23.01.25-r0.apk | 47.6 KiB | 2023-02-10 10:39:01 |
dosbox-staging-doc-0.81.0-r0.apk | 47.6 KiB | 2024-02-16 11:15:25 |
gsettings-qt-0.2_git20220807-r0.apk | 47.8 KiB | 2023-11-04 16:55:09 |
perl-test-unit-doc-0.25-r4.apk | 47.9 KiB | 2023-12-17 22:52:19 |
perl-net-async-redis-doc-6.000-r0.apk | 47.9 KiB | 2024-01-28 12:26:28 |
clevis-19-r0.apk | 48.0 KiB | 2023-01-29 19:28:11 |
perl-net-jabber-doc-2.0-r0.apk | 48.1 KiB | 2024-01-12 13:03:55 |
plfit-static-0.9.4-r2.apk | 48.1 KiB | 2023-08-01 12:38:05 |
goomwwm-1.0.0-r4.apk | 48.2 KiB | 2024-04-11 22:06:36 |
py3-dkimpy-pyc-1.1.6-r0.apk | 48.2 KiB | 2024-04-24 21:06:47 |
tremc-0.9.3-r0.apk | 48.2 KiB | 2022-03-18 19:20:45 |
py3-distorm3-pyc-3.5.2-r5.apk | 48.2 KiB | 2024-04-15 21:51:31 |
py3-enzyme-0.4.1-r4.apk | 48.2 KiB | 2024-04-15 21:51:33 |
py3-modbus-tk-pyc-1.1.1-r3.apk | 48.3 KiB | 2024-04-15 21:51:55 |
py3-zope-configuration-pyc-5.0.1-r2.apk | 48.3 KiB | 2024-04-15 21:52:05 |
py3-spotipy-pyc-2.23.0-r2.apk | 48.3 KiB | 2024-04-15 21:52:03 |
i2util-dev-4.2.1-r1.apk | 48.3 KiB | 2022-11-02 08:47:23 |
bitlbee-mastodon-1.4.5-r0.apk | 48.3 KiB | 2022-07-05 15:19:04 |
watchdog-5.16-r1.apk | 48.3 KiB | 2023-03-13 09:12:32 |
ocaml-bigstringaf-0.9.0-r2.apk | 48.3 KiB | 2024-03-24 02:11:52 |
ace-of-penguins-doc-1.4-r2.apk | 48.4 KiB | 2022-03-01 10:21:53 |
libshadowsocks-libev-3.3.5-r4.apk | 48.4 KiB | 2024-04-13 17:05:20 |
py3-pyvows-pyc-3.0.0-r4.apk | 48.5 KiB | 2024-04-15 21:52:01 |
parcellite-lang-1.2.4.0-r0.apk | 48.5 KiB | 2024-02-24 13:44:39 |
barman-doc-3.10.0-r1.apk | 48.6 KiB | 2024-04-15 21:50:53 |
xfce4-timer-plugin-lang-1.7.2-r0.apk | 48.6 KiB | 2023-04-18 20:18:37 |
coxeter-3.0-r1.apk | 48.6 KiB | 2023-08-01 12:37:56 |
dublin-traceroute-0.4.2-r3.apk | 48.6 KiB | 2023-09-16 21:20:51 |
somebar-1.0.3-r0.apk | 48.7 KiB | 2023-06-04 18:00:03 |
perl-minion-doc-10.29-r0.apk | 48.7 KiB | 2024-03-20 11:52:02 |
py3-litex-hub-pythondata-misc-tapcfg-2023.12-r4.apk | 48.8 KiB | 2024-04-15 21:51:52 |
py3-osqp-dev-0.6.2-r5.apk | 48.8 KiB | 2024-04-15 21:51:59 |
lynis-doc-3.1.1-r0.apk | 48.9 KiB | 2024-03-18 01:01:31 |
ocaml-tsdl-image-0.6-r0.apk | 48.9 KiB | 2024-04-22 06:21:45 |
ocaml-lwt_ssl-dev-1.2.0-r0.apk | 48.9 KiB | 2024-04-22 06:21:39 |
py3-python-jose-pyc-3.3.0-r2.apk | 49.0 KiB | 2024-04-15 13:09:35 |
sndfile-tools-1.5-r1.apk | 49.2 KiB | 2023-07-29 20:02:55 |
s-postgray-0.8.2-r0.apk | 49.3 KiB | 2024-03-15 19:09:26 |
aravis-0.8.31-r0.apk | 49.3 KiB | 2024-03-16 13:29:14 |
swaks-doc-20240103.0-r0.apk | 49.4 KiB | 2024-01-08 09:44:36 |
py3-memory-profiler-pyc-0.61-r1.apk | 49.4 KiB | 2024-03-28 20:31:01 |
castero-0.9.5-r2.apk | 49.5 KiB | 2023-04-24 09:28:49 |
py3-radon-pyc-6.0.1-r1.apk | 49.5 KiB | 2024-04-15 21:52:01 |
xdg-user-dirs-gtk-lang-0.11-r2.apk | 49.6 KiB | 2023-10-31 06:57:49 |
cvs-fast-export-1.65-r0.apk | 49.7 KiB | 2024-02-16 19:34:14 |
apk-tools3-3.0.0_pre2_git20240401-r0.apk | 49.7 KiB | 2024-04-02 19:48:16 |
py3-zimscraperlib-3.2.0-r0.apk | 49.8 KiB | 2024-01-21 20:36:57 |
py3-liblarch-pyc-3.2.0-r4.apk | 49.8 KiB | 2024-04-15 21:51:38 |
plzip-1.11-r0.apk | 49.9 KiB | 2024-01-25 16:34:42 |
ocaml-mtime-dev-1.4.0-r2.apk | 49.9 KiB | 2024-03-24 02:12:20 |
libzn_poly-0.9.2-r2.apk | 49.9 KiB | 2023-08-01 12:38:04 |
libantic-0.2.5-r0.apk | 50.0 KiB | 2022-11-02 08:47:57 |
xwaylandvideobridge-0.4.0-r1.apk | 50.0 KiB | 2024-03-03 23:25:42 |
xfce4-diskperf-plugin-lang-2.7.0-r0.apk | 50.2 KiB | 2023-08-03 05:00:26 |
milkytracker-doc-1.04.00-r2.apk | 50.2 KiB | 2024-03-23 13:16:47 |
j4-dmenu-desktop-2.18-r1.apk | 50.3 KiB | 2022-08-06 12:48:29 |
perl-net-jabber-2.0-r0.apk | 50.4 KiB | 2024-01-12 13:03:55 |
py3-lunr-pyc-0.6.2-r3.apk | 50.4 KiB | 2024-04-15 21:51:54 |
fcitx5-hangul-5.1.3-r0.apk | 50.5 KiB | 2024-05-10 03:39:43 |
perl-protocol-xmpp-doc-0.006-r0.apk | 50.5 KiB | 2024-01-16 19:22:12 |
stgit-doc-1.3-r5.apk | 50.7 KiB | 2024-04-15 21:52:07 |
ocaml-merlin-extend-0.6.1-r2.apk | 50.7 KiB | 2024-03-24 02:12:19 |
waynergy-0.0.17-r0.apk | 50.7 KiB | 2024-05-09 22:17:27 |
levmar-dev-2.6-r0.apk | 50.9 KiB | 2022-04-06 12:15:54 |
py3-aiohttp-debugtoolbar-pyc-0.6.1-r1.apk | 50.9 KiB | 2024-04-15 21:51:25 |
libbraiding-1.2-r2.apk | 51.0 KiB | 2023-08-01 12:38:02 |
fox-pathfinder-1.6.57-r0.apk | 51.1 KiB | 2022-08-08 10:58:10 |
clevis-dbg-19-r0.apk | 51.1 KiB | 2023-01-29 19:28:11 |
php81-pecl-event-3.1.3-r0.apk | 51.1 KiB | 2024-04-10 23:39:35 |
py3-dnslib-0.9.24-r1.apk | 51.1 KiB | 2024-04-15 21:51:31 |
py3-ovos-bus-client-pyc-0.0.5-r1.apk | 51.2 KiB | 2024-04-15 21:51:59 |
spread-sheet-widget-0.8-r0.apk | 51.2 KiB | 2021-11-13 22:27:10 |
sopwith-2.5.0-r0.apk | 51.2 KiB | 2024-05-05 20:23:59 |
py3-tasklib-pyc-2.5.1-r2.apk | 51.3 KiB | 2024-04-15 21:52:03 |
py3-redmine-pyc-2.4.0-r3.apk | 51.3 KiB | 2024-04-15 21:52:01 |
lcov-doc-2.0-r2.apk | 51.5 KiB | 2024-01-15 09:42:32 |
openwsman-2.7.2-r4.apk | 51.6 KiB | 2024-04-15 21:51:23 |
opentelemetry-cpp-exporter-zipkin-1.11.0-r2.apk | 51.6 KiB | 2023-11-15 14:38:33 |
py3-wstools-0.4.10-r6.apk | 51.7 KiB | 2024-04-15 21:52:05 |
py3-pymaging-png-pyc-0.0.20130727-r9.apk | 51.7 KiB | 2024-04-15 21:52:00 |
sshuttle-1.1.1-r2.apk | 51.8 KiB | 2024-04-15 21:52:07 |
py3-sphobjinv-pyc-2.3.1-r2.apk | 51.9 KiB | 2024-04-13 17:05:21 |
megatools-doc-1.11.1.20230212-r1.apk | 52.0 KiB | 2023-03-20 17:04:37 |
granite7-lang-7.4.0-r0.apk | 52.0 KiB | 2023-12-23 01:34:55 |
libupstart-2.0.3-r4.apk | 52.1 KiB | 2023-07-01 05:25:11 |
py3-pypubsub-4.0.3-r0.apk | 52.1 KiB | 2024-05-05 05:25:01 |
jalv-1.6.8-r1.apk | 52.3 KiB | 2023-07-29 20:02:48 |
ocaml-mtime-1.4.0-r2.apk | 52.3 KiB | 2024-03-24 02:12:20 |
psftools-doc-1.1.1-r0.apk | 52.4 KiB | 2022-01-11 20:17:40 |
perl-dbix-class-helpers-2.036000-r3.apk | 52.6 KiB | 2023-07-04 00:01:45 |
gingerbase-lang-2.3.0-r7.apk | 52.6 KiB | 2024-04-15 21:50:57 |
ccrtp-dev-2.1.2-r0.apk | 52.7 KiB | 2022-06-04 21:01:12 |
bordeaux-generic-0.8.1-r0.apk | 52.8 KiB | 2024-02-28 00:46:15 |
py3-pytube-15.0.0-r1.apk | 52.8 KiB | 2024-04-15 21:52:01 |
recoll-dev-1.37.5-r1.apk | 52.8 KiB | 2024-04-15 21:52:07 |
subliminal-2.1.0-r4.apk | 52.8 KiB | 2024-04-15 21:52:07 |
timew-doc-1.4.3-r1.apk | 52.9 KiB | 2022-11-02 08:49:24 |
createrepo_c-1.0.2-r1.apk | 53.1 KiB | 2024-04-15 21:50:55 |
py3-pyatem-0.5.0-r3.apk | 53.1 KiB | 2024-04-15 21:52:00 |
rkdeveloptool-1.1.0-r0.apk | 53.2 KiB | 2022-02-03 02:10:05 |
xfce4-fsguard-plugin-1.1.3-r0.apk | 53.4 KiB | 2023-08-03 07:35:42 |
py3-c3d-pyc-0.5.2-r1.apk | 53.4 KiB | 2024-04-15 21:51:30 |
py3-pyzor-pyc-1.0.0-r10.apk | 53.5 KiB | 2024-04-15 21:52:01 |
ocaml-ezxmlm-dev-1.1.0-r0.apk | 53.5 KiB | 2024-04-22 06:21:33 |
freediameter-dev-1.5.0-r1.apk | 53.5 KiB | 2022-11-06 10:59:44 |
libarb-dev-2.23.0-r2.apk | 53.6 KiB | 2023-08-01 12:38:02 |
libstirshaken-0_git20240208-r2.apk | 53.6 KiB | 2024-02-08 09:25:42 |
ocaml-uuseg-dev-14.0.0-r2.apk | 53.7 KiB | 2024-04-22 06:21:47 |
pimd-dense-2.1.0-r0.apk | 53.7 KiB | 2023-01-14 01:44:55 |
mcjoin-doc-2.11-r0.apk | 53.7 KiB | 2022-09-12 08:37:13 |
xfce4-places-plugin-lang-1.8.3-r0.apk | 53.7 KiB | 2022-12-16 10:07:05 |
gtksourceviewmm4-dev-3.91.1-r2.apk | 53.8 KiB | 2023-04-15 23:17:22 |
libzn_poly-static-0.9.2-r2.apk | 53.8 KiB | 2023-08-01 12:38:04 |
py3-hiplot-pyc-0.1.33-r1.apk | 53.9 KiB | 2024-04-15 21:51:35 |
py3-rospkg-pyc-1.2.9-r5.apk | 53.9 KiB | 2024-04-15 21:52:01 |
ocaml-iso8601-0.2.6-r0.apk | 53.9 KiB | 2024-04-22 06:21:39 |
php81-pecl-amqp-2.1.2-r0.apk | 54.0 KiB | 2024-04-10 23:39:35 |
epoch-1.3.0-r1.apk | 54.0 KiB | 2022-11-02 08:47:05 |
metalang99-1.13.3-r0.apk | 54.0 KiB | 2023-05-16 12:29:10 |
varnish-modules-0.23.0-r1.apk | 54.1 KiB | 2023-12-12 06:41:07 |
perl-net-async-redis-6.000-r0.apk | 54.2 KiB | 2024-01-28 12:26:28 |
pulsar-client-cpp-dev-3.1.2-r4.apk | 54.3 KiB | 2024-04-22 18:59:10 |
fabric-3.2.2-r1.apk | 54.4 KiB | 2024-04-15 21:50:55 |
py3-qbittorrent-api-2024.3.60-r0.apk | 54.4 KiB | 2024-04-01 18:10:16 |
ocaml-ptmap-2.0.5-r3.apk | 54.5 KiB | 2024-03-24 02:12:35 |
postgresql-pg_variables-bitcode-1.2.4_git20220909-r2.apk | 54.5 KiB | 2023-10-03 23:25:03 |
belle-sip-dev-5.3.38-r0.apk | 54.6 KiB | 2024-04-13 17:05:19 |
py3-trivup-pyc-0.12.2-r1.apk | 54.7 KiB | 2024-04-15 21:52:04 |
elfio-dev-3.12-r0.apk | 54.7 KiB | 2023-08-30 04:54:55 |
emacs-centaur-tabs-3.2_git20230601-r0.apk | 54.8 KiB | 2024-04-02 07:53:25 |
py3-sh-pyc-2.0.6-r1.apk | 54.8 KiB | 2024-04-15 21:52:02 |
nvim-cmp-0.0.0_git20221011-r0.apk | 54.9 KiB | 2022-10-13 20:59:39 |
libnfc-1.8.0-r1.apk | 55.0 KiB | 2023-05-15 20:51:50 |
lomiri-ui-extras-lang-0.6.3-r0.apk | 55.0 KiB | 2024-02-06 23:41:36 |
backup-manager-0.7.15-r1.apk | 55.1 KiB | 2022-11-02 08:46:34 |
plfit-0.9.4-r2.apk | 55.2 KiB | 2023-08-01 12:38:05 |
py3-catkin-pkg-0.5.2-r3.apk | 55.2 KiB | 2024-04-15 21:51:30 |
ocaml-hex-dev-1.5.0-r2.apk | 55.2 KiB | 2024-03-24 02:12:10 |
gdcm-doc-3.0.23-r2.apk | 55.3 KiB | 2024-04-15 21:50:56 |
py3-zfs-autobackup-3.2.2-r1.apk | 55.3 KiB | 2024-04-15 21:52:05 |
libiio-0.25-r1.apk | 55.5 KiB | 2024-04-15 21:51:07 |
khronos-4.0.1-r0.apk | 55.5 KiB | 2023-10-15 13:20:26 |
git-extras-7.1.0-r0.apk | 55.6 KiB | 2023-10-30 10:34:43 |
postgresql-hll-bitcode-2.18-r0.apk | 55.6 KiB | 2023-12-17 21:27:45 |
py3-pyspinel-1.0.3-r1.apk | 55.8 KiB | 2024-04-15 21:52:00 |
ocamlnet-tcl-4.1.9-r2.apk | 55.8 KiB | 2024-03-24 02:12:55 |
libucl-0.9.0-r0.apk | 55.8 KiB | 2024-02-02 21:18:03 |
py3-qpageview-doc-0.6.2-r1.apk | 56.0 KiB | 2024-04-15 21:52:01 |
pympress-lang-1.8.5-r1.apk | 56.0 KiB | 2024-04-15 21:52:05 |
py3-scour-0.38.2-r1.apk | 56.1 KiB | 2024-04-15 21:52:01 |
xfce4-panel-profiles-1.0.14-r1.apk | 56.3 KiB | 2023-12-11 08:36:09 |
py3-sphinx-autoapi-pyc-3.0.0-r1.apk | 56.3 KiB | 2024-04-15 21:52:02 |
php81-pecl-apcu-5.1.23-r0.apk | 56.3 KiB | 2024-04-10 23:39:35 |
pfqueue-0.5.6-r1.apk | 56.3 KiB | 2022-10-14 16:16:44 |
synadm-0.46-r1.apk | 56.4 KiB | 2024-04-15 21:52:07 |
wmutils-1.7-r1.apk | 56.4 KiB | 2023-10-15 13:20:31 |
mat2-pyc-0.13.4-r1.apk | 56.4 KiB | 2023-10-19 16:18:21 |
fplll-5.4.5-r0.apk | 56.4 KiB | 2023-10-22 08:13:02 |
coxeter-dev-3.0-r1.apk | 56.5 KiB | 2023-08-01 12:37:56 |
xfce4-mailwatch-plugin-1.3.1-r1.apk | 56.5 KiB | 2023-10-31 06:57:49 |
gtksourceviewmm3-dev-3.21.3-r2.apk | 56.5 KiB | 2023-04-15 23:16:29 |
libfishsound-dev-1.0.0-r1.apk | 56.7 KiB | 2020-08-09 23:25:30 |
lcalc-dev-2.0.5-r1.apk | 56.9 KiB | 2023-03-15 12:17:14 |
liquibase-doc-4.9.1-r0.apk | 56.9 KiB | 2022-04-11 11:28:01 |
pam_mount-2.20-r0.apk | 57.0 KiB | 2023-12-23 02:34:43 |
py3-mpd2-pyc-3.1.1-r1.apk | 57.0 KiB | 2024-04-15 21:51:55 |
libmpfi-static-1.5.4-r2.apk | 57.1 KiB | 2023-08-01 12:38:02 |
bananui-2.0.0-r0.apk | 57.2 KiB | 2023-10-06 06:01:43 |
sblim-sfcc-2.2.8-r2.apk | 57.2 KiB | 2023-05-15 20:51:56 |
perl-net-xmpp-1.05-r0.apk | 57.4 KiB | 2024-01-12 13:03:55 |
openwsman-dev-2.7.2-r4.apk | 57.4 KiB | 2024-04-15 21:51:24 |
lua5.2-lanes-3.16.0-r1.apk | 57.5 KiB | 2024-04-04 11:35:41 |
vcstool-pyc-0.3.0-r5.apk | 57.5 KiB | 2024-04-15 21:52:07 |
mint-y-theme-metacity-2.1.1-r0.apk | 57.5 KiB | 2023-06-12 15:01:22 |
kfc-0.1.4-r0.apk | 57.6 KiB | 2023-06-02 23:50:02 |
lua5.4-lanes-3.16.0-r1.apk | 57.6 KiB | 2024-04-04 11:35:41 |
lua5.1-lanes-3.16.0-r1.apk | 57.8 KiB | 2024-04-04 11:35:41 |
nemo-qml-plugin-alarms-0.3.10-r1.apk | 57.8 KiB | 2021-11-01 16:16:59 |
font-tiresias-doc-0_git20200704-r0.apk | 57.9 KiB | 2023-01-02 22:43:13 |
lua5.3-lanes-3.16.0-r1.apk | 57.9 KiB | 2024-04-04 11:35:41 |
sbase-doc-0_git20210730-r2.apk | 58.0 KiB | 2022-11-02 08:49:08 |
compton-conf-0.16.0-r1.apk | 58.1 KiB | 2022-07-26 07:50:38 |
freedoom-doc-0.12.1-r2.apk | 58.1 KiB | 2023-10-31 06:57:40 |
libantlr3c-dev-3.4-r3.apk | 58.1 KiB | 2023-05-15 20:51:47 |
py3-empy-pyc-3.3.4-r6.apk | 58.1 KiB | 2024-04-15 21:51:33 |
apt-dater-1.0.4-r3.apk | 58.1 KiB | 2023-04-29 21:47:13 |
anari-sdk-dev-0.7.2-r0.apk | 58.3 KiB | 2023-11-04 01:43:24 |
php81-pecl-zephir_parser-1.6.0-r0.apk | 58.4 KiB | 2023-08-28 20:13:57 |
php82-pecl-zephir_parser-1.6.0-r0.apk | 58.5 KiB | 2023-08-28 20:13:57 |
xfce4-mixer-lang-4.18.1-r2.apk | 58.5 KiB | 2023-10-31 06:57:49 |
ustr-1.0.4-r1.apk | 58.6 KiB | 2023-05-15 20:52:01 |
py3-astral-pyc-3.2-r3.apk | 58.7 KiB | 2024-04-15 21:51:28 |
yodl-doc-4.02.00-r1.apk | 58.7 KiB | 2022-11-02 08:49:40 |
nvtop-3.1.0-r0.apk | 58.7 KiB | 2024-02-24 12:42:58 |
plplot-dev-5.15.0-r2.apk | 58.7 KiB | 2022-11-02 08:48:53 |
terminalpp-ropen-0.8.4-r0.apk | 58.8 KiB | 2022-10-12 19:47:53 |
py3-flask-restless-pyc-0.17.0-r9.apk | 58.8 KiB | 2024-04-15 21:51:35 |
ttfautohint-gui-1.8.4-r0.apk | 59.1 KiB | 2024-05-06 10:51:57 |
perl-json-validator-5.14-r0.apk | 59.1 KiB | 2024-01-14 12:53:34 |
portsmf-239-r1.apk | 59.2 KiB | 2023-03-17 18:52:42 |
sloccount-doc-2.26-r3.apk | 59.4 KiB | 2022-01-18 20:37:23 |
nvim-lualine-0.0.0_git20221006-r0.apk | 59.4 KiB | 2022-10-13 20:59:39 |
perl-libapreq2-dev-2.17-r1.apk | 59.5 KiB | 2023-07-04 00:01:46 |
fdm-materials-5.2.2-r0.apk | 59.5 KiB | 2023-01-05 05:20:39 |
ocaml-uutf-dev-1.0.3-r2.apk | 59.6 KiB | 2024-03-24 02:12:52 |
py3-colander-2.0-r1.apk | 59.8 KiB | 2024-04-15 21:51:30 |
libvdpau-va-gl-0.4.2-r0.apk | 59.9 KiB | 2020-07-04 04:28:05 |
fabric-pyc-3.2.2-r1.apk | 59.9 KiB | 2024-04-15 21:50:55 |
openscap-daemon-0.1.10-r9.apk | 59.9 KiB | 2024-04-15 21:51:23 |
libm17n-core-1.8.4-r1.apk | 60.1 KiB | 2023-09-19 21:48:11 |
py3-aiodocker-pyc-0.21.0-r1.apk | 60.1 KiB | 2024-04-15 21:51:25 |
mnamer-pyc-2.5.5-r1.apk | 60.2 KiB | 2024-04-15 21:51:20 |
libantlr3c-3.4-r3.apk | 60.4 KiB | 2023-05-15 20:51:47 |
py3-nikola-doc-8.3.0-r2.apk | 60.5 KiB | 2024-04-15 21:51:55 |
flightgear-doc-2020.3.19-r1.apk | 60.6 KiB | 2024-04-22 18:58:14 |
py3-unicrypto-0.0.10-r2.apk | 60.7 KiB | 2024-04-15 21:52:05 |
bitlbee-facebook-1.2.2-r0.apk | 60.7 KiB | 2022-10-01 23:56:22 |
wlroots0.12-dev-0.12.0-r1.apk | 60.7 KiB | 2022-09-02 18:55:20 |
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk | 60.8 KiB | 2024-03-24 02:12:10 |
py3-zope-schema-pyc-7.0.1-r2.apk | 60.8 KiB | 2024-04-15 21:52:05 |
kabmat-2.7.0-r0.apk | 60.9 KiB | 2023-05-07 06:40:57 |
libabigail-doc-2.3-r0.apk | 61.0 KiB | 2023-05-04 07:46:59 |
gingerbase-pyc-2.3.0-r7.apk | 61.2 KiB | 2024-04-15 21:50:57 |
shntool-3.0.10-r4.apk | 61.3 KiB | 2022-10-08 16:06:38 |
py3-mapbox-earcut-1.0.1-r0.apk | 61.4 KiB | 2022-12-03 05:03:23 |
dcnnt-pyc-0.10.0-r1.apk | 61.4 KiB | 2024-04-15 21:50:55 |
py3-feedgen-pyc-1.0.0-r1.apk | 61.4 KiB | 2024-04-15 21:51:33 |
apache-mod-auth-gssapi-1.6.5-r1.apk | 61.5 KiB | 2023-05-15 20:51:30 |
hexer-1.4.0-r14.apk | 61.5 KiB | 2023-11-14 18:40:17 |
ocaml-easy-format-1.3.4-r1.apk | 61.6 KiB | 2024-03-24 02:12:07 |
curtail-lang-1.9.1-r0.apk | 61.8 KiB | 2024-04-15 21:50:55 |
font-tamzen-1.11.5-r1.apk | 61.9 KiB | 2022-10-08 17:19:17 |
hyprcursor-util-0.1.7-r0.apk | 61.9 KiB | 2024-04-23 08:03:23 |
py3-markdown2-pyc-2.4.13-r1.apk | 62.0 KiB | 2024-04-15 21:51:54 |
py3-tidalapi-pyc-0.7.4-r1.apk | 62.0 KiB | 2024-04-15 21:52:04 |
rtl-power-fftw-20200601-r4.apk | 62.0 KiB | 2024-04-24 20:50:28 |
qspectrumanalyzer-pyc-2.2.0-r4.apk | 62.0 KiB | 2024-04-15 21:52:05 |
py3-ncclient-0.6.13-r4.apk | 62.1 KiB | 2024-04-15 21:51:55 |
libiscsi-1.19.0-r2.apk | 62.3 KiB | 2023-05-15 20:51:50 |
commoncpp-tools-7.0.1-r1.apk | 62.3 KiB | 2022-08-04 06:40:51 |
xa-2.3.14-r0.apk | 62.7 KiB | 2023-03-18 14:09:46 |
libofx-0.10.9-r1.apk | 62.7 KiB | 2023-08-26 11:17:07 |
py3-pyspinel-pyc-1.0.3-r1.apk | 62.8 KiB | 2024-04-15 21:52:00 |
boxes-2.2.1-r0.apk | 62.8 KiB | 2023-09-06 13:12:13 |
rapidfuzz-3.0.0-r0.apk | 62.9 KiB | 2024-01-03 16:14:16 |
perl-sql-abstract-2.000001-r2.apk | 62.9 KiB | 2023-07-04 00:01:46 |
php81-dom-8.1.28-r0.apk | 63.1 KiB | 2024-04-10 23:39:34 |
cvise-pyc-2.8.0-r2.apk | 63.1 KiB | 2023-07-29 20:02:42 |
py3-minidump-0.0.23-r1.apk | 63.2 KiB | 2024-04-15 21:51:55 |
moosefs-cgi-3.0.117-r1.apk | 63.2 KiB | 2023-06-17 21:33:41 |
ocaml-tsdl-ttf-0.6-r0.apk | 63.3 KiB | 2024-04-22 06:21:45 |
git-extras-doc-7.1.0-r0.apk | 63.3 KiB | 2023-10-30 10:34:43 |
ueberzug-18.2.2-r1.apk | 63.4 KiB | 2024-04-15 21:52:07 |
libxml++-5.0.3-r1.apk | 63.4 KiB | 2023-04-29 21:47:16 |
bordeaux-coventry-0.8.1-r0.apk | 63.5 KiB | 2024-02-28 00:46:15 |
perl-glib-object-introspection-0.051-r0.apk | 63.5 KiB | 2023-08-29 11:36:35 |
9base-doc-6-r1.apk | 63.5 KiB | 2022-01-27 18:56:59 |
fcitx5-qt-5.1.6-r0.apk | 63.5 KiB | 2024-05-10 03:39:43 |
php81-pecl-ds-1.5.0-r0.apk | 63.5 KiB | 2024-04-10 23:39:35 |
lomiri-terminal-app-lang-2.0.2-r0.apk | 63.6 KiB | 2024-02-07 12:43:39 |
py3-caldav-1.3.9-r1.apk | 63.8 KiB | 2024-04-15 21:51:30 |
perl-net-curl-0.56-r0.apk | 63.8 KiB | 2024-04-03 11:32:35 |
mrsh-libs-0_git20210518-r1.apk | 63.8 KiB | 2022-11-02 08:48:37 |
ueberzug-pyc-18.2.2-r1.apk | 63.9 KiB | 2024-04-15 21:52:07 |
sthttpd-2.27.1-r2.apk | 64.0 KiB | 2022-11-02 08:49:20 |
libsirocco-2.1.0-r2.apk | 64.0 KiB | 2023-08-01 12:38:03 |
rosdep-0.19.0-r5.apk | 64.0 KiB | 2024-04-15 21:52:07 |
masky-pyc-0.2.0-r1.apk | 64.1 KiB | 2024-04-15 21:51:19 |
materia-compact-gtk3-20210322-r1.apk | 64.3 KiB | 2022-11-02 08:48:32 |
kismet-linux-wifi-0.202307.1-r2.apk | 64.4 KiB | 2023-11-15 14:38:29 |
materia-gtk3-20210322-r1.apk | 64.4 KiB | 2022-11-02 08:48:32 |
xfce4-calculator-plugin-0.7.2-r0.apk | 64.4 KiB | 2023-04-19 22:25:24 |
py3-sphinx-theme-bw-0.1.8-r7.apk | 64.5 KiB | 2024-04-15 21:52:02 |
glfw-wayland-3.3.8-r3.apk | 64.5 KiB | 2023-07-04 00:03:18 |
mpdcron-dev-0.3-r1.apk | 64.6 KiB | 2022-11-02 08:48:37 |
moosefs-doc-3.0.117-r1.apk | 64.6 KiB | 2023-06-17 21:33:41 |
lua5.2-penlight-doc-1.3.0-r1.apk | 64.6 KiB | 2022-07-26 07:50:47 |
ansible-bender-pyc-0.10.1-r2.apk | 64.7 KiB | 2024-04-13 17:05:19 |
py3-litex-hub-pythondata-cpu-serv-2023.12-r4.apk | 64.8 KiB | 2024-04-15 21:51:52 |
fcitx5-m17n-5.1.1-r0.apk | 64.8 KiB | 2024-05-10 03:39:43 |
megatools-1.11.1.20230212-r1.apk | 65.1 KiB | 2023-03-20 17:04:37 |
php81-ffi-8.1.28-r0.apk | 65.3 KiB | 2024-04-10 23:39:35 |
perl-regexp-grammars-1.058-r0.apk | 65.3 KiB | 2024-01-25 17:01:41 |
perl-gtk2-ex-widgetbits-48-r3.apk | 65.5 KiB | 2023-07-04 00:01:46 |
lomiri-terminal-app-2.0.2-r0.apk | 65.5 KiB | 2024-02-07 12:43:39 |
htmlcxx-0.87-r1.apk | 65.7 KiB | 2022-10-14 16:16:43 |
shine-3.1.1-r0.apk | 65.8 KiB | 2017-08-24 23:16:57 |
swaks-20240103.0-r0.apk | 65.8 KiB | 2024-01-08 09:44:36 |
libqb-2.0.8-r0.apk | 66.0 KiB | 2023-08-03 20:00:14 |
jbigkit-2.1-r2.apk | 66.1 KiB | 2022-11-02 08:47:25 |
pebble-le-0.3.0-r1.apk | 66.2 KiB | 2024-04-22 18:59:10 |
synadm-pyc-0.46-r1.apk | 66.4 KiB | 2024-04-15 21:52:07 |
tinyscheme-1.42-r1.apk | 66.6 KiB | 2022-10-14 16:16:46 |
gf2x-dev-1.3.0-r0.apk | 66.7 KiB | 2021-02-15 09:40:21 |
py3-diskcache-pyc-5.6.3-r1.apk | 66.9 KiB | 2024-04-15 21:51:31 |
libui-4.1_alpha20211213-r0.apk | 66.9 KiB | 2021-12-13 20:16:43 |
php81-doc-8.1.28-r0.apk | 67.0 KiB | 2024-04-10 23:39:34 |
clipit-1.4.5-r2.apk | 67.2 KiB | 2023-04-17 16:09:49 |
muon-doc-0.2.0-r2.apk | 67.3 KiB | 2024-03-28 20:31:00 |
py3-bookkeeper-pyc-4.16.2-r1.apk | 67.5 KiB | 2024-04-15 21:51:29 |
polyglot-2.0.4-r1.apk | 67.5 KiB | 2023-08-01 12:38:05 |
py3-python-iptables-pyc-1.0.1-r1.apk | 67.6 KiB | 2024-04-15 21:52:00 |
perl-io-lambda-doc-1.33-r0.apk | 67.7 KiB | 2024-04-16 11:29:09 |
ding-libs-dev-0.6.2-r4.apk | 67.7 KiB | 2023-11-09 08:43:15 |
arc-cinnamon-20221218-r0.apk | 67.8 KiB | 2023-01-07 13:34:32 |
py3-pystache-0.6.5-r1.apk | 67.8 KiB | 2024-04-15 21:52:00 |
ffms2-2.40-r0.apk | 67.9 KiB | 2023-12-30 13:46:21 |
py3-minio-7.2.0-r1.apk | 68.2 KiB | 2024-04-15 21:51:55 |
arc-dark-cinnamon-20221218-r0.apk | 68.2 KiB | 2023-01-07 13:34:32 |
aravis-viewer-0.8.31-r0.apk | 68.2 KiB | 2024-03-16 13:29:14 |
lrzsz-0.12.20-r2.apk | 68.3 KiB | 2022-11-02 08:48:21 |
curlpp-dev-0.8.1-r1.apk | 68.3 KiB | 2022-11-02 08:46:53 |
pqiv-2.12-r1.apk | 68.3 KiB | 2022-11-02 08:48:56 |
atlantik-lang-3.5.10_git20240323-r0.apk | 68.4 KiB | 2024-03-23 19:39:57 |
nzbget-doc-21.1-r2.apk | 68.5 KiB | 2023-04-29 21:47:30 |
serialdv-libs-1.1.4-r0.apk | 68.8 KiB | 2023-02-22 11:32:57 |
howard-bc-6.7.5-r0.apk | 68.8 KiB | 2024-01-08 09:44:32 |
hping3-20051105-r4.apk | 68.9 KiB | 2017-07-13 14:27:19 |
wlvncc-0.0.0_git20230105-r0.apk | 68.9 KiB | 2023-02-28 00:05:25 |
py3-bandwidth-sdk-pyc-3.1.0-r7.apk | 69.0 KiB | 2024-04-15 21:51:29 |
horizon-image-0.9.6-r9.apk | 69.1 KiB | 2024-04-22 18:58:15 |
debconf-1.5.82-r0.apk | 69.1 KiB | 2023-03-16 14:39:13 |
charls-2.4.2-r0.apk | 69.2 KiB | 2023-11-03 02:19:34 |
py3-lsprotocol-2023.0.1-r1.apk | 69.2 KiB | 2024-04-15 21:51:54 |
xsoldier-1.8-r1.apk | 69.3 KiB | 2022-11-02 08:49:40 |
py3-zimscraperlib-pyc-3.2.0-r0.apk | 69.4 KiB | 2024-01-21 20:36:57 |
py3-ovos-plugin-manager-0.0.24-r1.apk | 69.4 KiB | 2024-04-15 21:51:59 |
py3-zfs-autobackup-pyc-3.2.2-r1.apk | 69.5 KiB | 2024-04-15 21:52:05 |
py3-syrupy-pyc-4.6.1-r1.apk | 69.5 KiB | 2024-04-15 21:52:03 |
ocfs2-tools-doc-1.8.7-r2.apk | 69.6 KiB | 2023-05-15 20:51:53 |
ginac-dev-1.8.7-r1.apk | 69.7 KiB | 2024-01-28 13:57:51 |
py3-hg-git-1.1.1-r1.apk | 69.8 KiB | 2024-04-15 21:51:35 |
getmail6-6.18.14-r1.apk | 69.9 KiB | 2024-04-15 21:50:56 |
py3-blockdiag-3.0.0-r4.apk | 69.9 KiB | 2024-04-15 21:51:29 |
libexmdbpp-1.11-r0.apk | 70.0 KiB | 2024-04-26 06:33:02 |
policycoreutils-3.6-r0.apk | 70.2 KiB | 2024-01-08 09:44:33 |
wlroots0.15-dev-0.15.1-r6.apk | 70.2 KiB | 2023-07-03 16:21:47 |
wget2-doc-2.1.0-r0.apk | 70.2 KiB | 2023-09-04 09:48:38 |
xdg-ninja-0.2.0.2-r0.apk | 70.2 KiB | 2024-02-05 06:11:19 |
piper-phonemize-libs-2023.11.14.4-r1.apk | 70.7 KiB | 2024-03-10 14:56:36 |
normaliz-dev-3.10.2-r1.apk | 70.7 KiB | 2024-04-22 18:59:02 |
twemproxy-0.5.0-r0.apk | 70.8 KiB | 2022-04-30 08:20:58 |
ckb-next-daemon-0.6.0-r1.apk | 70.8 KiB | 2023-07-19 19:11:13 |
hfst-doc-3.16.0-r2.apk | 70.8 KiB | 2024-04-15 21:50:57 |
ocaml-mew-0.1.0-r3.apk | 70.9 KiB | 2024-03-24 02:12:19 |
libnest2d-dev-0.4-r6.apk | 70.9 KiB | 2024-04-22 18:58:29 |
py3-limits-pyc-3.11.0-r0.apk | 71.0 KiB | 2024-04-22 08:24:52 |
dnsperf-2.14.0-r0.apk | 71.0 KiB | 2024-01-19 09:40:36 |
libtommath-dev-1.2.1-r0.apk | 71.1 KiB | 2023-10-06 16:21:43 |
tmate-doc-2.4.0-r4.apk | 71.5 KiB | 2023-03-05 02:20:55 |
sloccount-2.26-r3.apk | 71.7 KiB | 2022-01-18 20:37:23 |
php81-openssl-8.1.28-r0.apk | 71.8 KiB | 2024-04-10 23:39:35 |
rabbitmq-server-doc-3.13.2-r0.apk | 71.9 KiB | 2024-05-01 07:58:12 |
opendht-dev-3.1.7-r2.apk | 71.9 KiB | 2024-04-15 21:51:23 |
log4cpp-1.1.4-r1.apk | 71.9 KiB | 2023-05-15 20:51:52 |
py3-latex2mathml-3.77.0-r1.apk | 72.0 KiB | 2024-04-15 21:51:38 |
py3-django-mptt-pyc-0.16-r2.apk | 72.0 KiB | 2024-04-15 21:51:31 |
idesk-1-r1.apk | 72.1 KiB | 2022-10-14 16:16:43 |
hypnotix-lang-3.5-r0.apk | 72.2 KiB | 2023-07-18 00:18:36 |
qml-asteroid-2.0.0-r0.apk | 72.4 KiB | 2023-08-31 09:43:22 |
ocaml-eqaf-0.8-r2.apk | 72.5 KiB | 2024-03-24 02:12:07 |
rhasspy-nlu-pyc-0.4.0-r3.apk | 72.6 KiB | 2024-04-15 21:52:07 |
tree-sitter-caddy-0_git20230322-r0.apk | 72.6 KiB | 2023-12-16 02:06:30 |
libqtdbusmock-0.9.1-r0.apk | 72.6 KiB | 2024-01-28 12:11:39 |
fastd-22-r3.apk | 72.7 KiB | 2023-10-22 04:58:39 |
libneo4j-client-2.2.0-r3.apk | 72.9 KiB | 2022-08-20 20:31:54 |
php81-opcache-8.1.28-r0.apk | 73.0 KiB | 2024-04-10 23:39:35 |
py3-jsonschema417-4.17.3-r1.apk | 73.1 KiB | 2024-04-15 21:51:36 |
screenkey-pyc-1.5-r6.apk | 73.1 KiB | 2024-04-15 21:52:07 |
topgit-doc-0.19.13-r1.apk | 73.2 KiB | 2022-11-02 08:49:25 |
mpop-1.4.18-r0.apk | 73.4 KiB | 2023-01-31 22:01:29 |
py3-scour-pyc-0.38.2-r1.apk | 73.5 KiB | 2024-04-15 21:52:01 |
py3-mopidy-mpd-pyc-3.3.0-r4.apk | 73.6 KiB | 2024-04-15 21:51:55 |
opentelemetry-cpp-exporter-otlp-http-1.11.0-r2.apk | 74.0 KiB | 2023-11-15 14:38:33 |
thefuck-3.32-r3.apk | 74.1 KiB | 2024-04-15 21:52:07 |
py3-ovos-workshop-0.0.13_alpha17-r1.apk | 74.1 KiB | 2024-04-15 21:51:59 |
ocaml-sha-1.15.4-r0.apk | 74.2 KiB | 2024-03-24 02:12:50 |
vcdimager-doc-2.0.1-r3.apk | 74.4 KiB | 2023-04-29 21:47:36 |
zsh-fast-syntax-highlighting-1.55_git20230705-r0.apk | 74.4 KiB | 2023-12-17 12:11:01 |
linuxptp-ptp4l-4.2-r0.apk | 74.6 KiB | 2023-12-20 18:54:36 |
flowd-0.9.1-r9.apk | 74.6 KiB | 2023-07-04 00:01:45 |
tpm2-pkcs11-pyc-1.9.0-r1.apk | 74.7 KiB | 2023-04-22 10:54:27 |
perl-snmp-5.0404-r12.apk | 74.7 KiB | 2023-07-04 00:01:46 |
deutex-5.2.2-r1.apk | 74.7 KiB | 2022-04-10 21:14:20 |
endeavour-doc-43.0-r1.apk | 75.1 KiB | 2024-03-23 19:39:57 |
ocaml-jsonm-dev-1.0.2-r0.apk | 75.2 KiB | 2024-04-22 06:21:39 |
perl-io-lambda-1.33-r0.apk | 75.2 KiB | 2024-04-16 11:29:09 |
py3-doit-0.36.0-r4.apk | 75.2 KiB | 2024-04-15 21:51:31 |
libfishsound-doc-1.0.0-r1.apk | 75.3 KiB | 2020-08-09 23:25:30 |
xsecurelock-1.9.0-r1.apk | 75.4 KiB | 2024-04-11 22:06:36 |
py3-aesedb-pyc-0.1.6-r2.apk | 75.4 KiB | 2024-04-15 21:51:25 |
ocaml-domain-name-0.4.0-r2.apk | 75.5 KiB | 2024-03-24 02:12:06 |
nymphcast-mediaserver-0.1-r2.apk | 75.6 KiB | 2023-01-08 04:30:21 |
viewnior-1.8-r1.apk | 75.6 KiB | 2023-06-15 06:35:23 |
nb-doc-7.12.1-r0.apk | 75.6 KiB | 2024-02-23 23:36:13 |
fcitx5-rime-5.1.6-r0.apk | 75.7 KiB | 2024-05-10 03:39:43 |
ocaml-gmap-dev-0.3.0-r2.apk | 75.9 KiB | 2024-03-24 02:12:09 |
cpplint-1.6.1_git20240320-r1.apk | 76.2 KiB | 2024-04-15 21:50:55 |
py3-osqp-pyc-0.6.2-r5.apk | 76.3 KiB | 2024-04-15 21:51:59 |
xfce4-docklike-plugin-0.4.2-r0.apk | 76.4 KiB | 2023-12-26 23:00:05 |
screenkey-1.5-r6.apk | 76.7 KiB | 2024-04-15 21:52:07 |
py3-confluent-kafka-pyc-1.8.2-r4.apk | 76.8 KiB | 2024-04-15 21:51:30 |
ledmon-0.97-r1.apk | 76.8 KiB | 2023-12-18 10:41:10 |
monetdb-dev-11.33.11-r4.apk | 76.8 KiB | 2023-04-29 21:47:29 |
csfml-dev-2.5.2-r0.apk | 76.9 KiB | 2023-07-01 06:44:40 |
py3-dogpile.cache-pyc-1.2.2-r1.apk | 77.2 KiB | 2024-04-15 21:51:31 |
gammastep-lang-2.0.9-r3.apk | 77.3 KiB | 2024-04-15 21:50:56 |
ocaml-lru-0.3.0-r2.apk | 77.3 KiB | 2024-03-24 02:12:17 |
ustr-debug-1.0.4-r1.apk | 77.4 KiB | 2023-05-15 20:52:01 |
cln-doc-1.3.7-r0.apk | 77.5 KiB | 2024-01-28 13:57:51 |
py3-nwdiag-pyc-3.0.0-r2.apk | 77.6 KiB | 2024-04-15 21:51:57 |
mxclient-0_git20211002-r1.apk | 77.7 KiB | 2022-11-02 08:48:38 |
py3-pyparted-3.13.0-r1.apk | 77.8 KiB | 2024-04-15 21:52:00 |
pypy-dev-7.3.12-r0.apk | 77.8 KiB | 2023-06-16 23:14:02 |
yaru-theme-hdpi-23.10.0-r0.apk | 77.9 KiB | 2024-04-18 02:08:47 |
py3-pysimplesoap-pyc-1.16.2-r6.apk | 77.9 KiB | 2024-04-15 21:52:00 |
ocaml-ptime-dev-1.0.0-r2.apk | 78.2 KiB | 2024-03-24 02:12:35 |
py3-dt-schema-2024.04-r0.apk | 78.2 KiB | 2024-04-19 02:47:51 |
libiscsi-static-1.19.0-r2.apk | 78.2 KiB | 2023-05-15 20:51:50 |
py3-solidpython-1.1.2-r2.apk | 78.4 KiB | 2024-04-15 21:52:02 |
surfraw-2.3.0-r0.apk | 78.5 KiB | 2023-08-01 20:41:32 |
aide-0.18.7-r0.apk | 78.5 KiB | 2024-05-08 07:17:51 |
libgivaro-4.2.0-r2.apk | 78.7 KiB | 2023-08-01 12:38:02 |
py3-shodan-pyc-1.31.0-r1.apk | 78.9 KiB | 2024-04-15 21:52:02 |
purple-facebook-0.9.6-r0.apk | 78.9 KiB | 2020-07-23 12:29:02 |
p0f-3.09b-r2.apk | 79.0 KiB | 2022-11-02 08:48:45 |
atlantik-doc-3.5.10_git20240323-r0.apk | 79.0 KiB | 2024-03-23 19:39:57 |
psftools-dev-1.1.1-r0.apk | 79.1 KiB | 2022-01-11 20:17:40 |
py3-asyauth-0.0.20-r1.apk | 79.2 KiB | 2024-04-15 21:51:28 |
fplll-dev-5.4.5-r0.apk | 79.2 KiB | 2023-10-22 08:13:02 |
py3-ward-pyc-0.67.0_beta0-r2.apk | 79.3 KiB | 2024-04-15 21:52:05 |
ocaml-stringext-dev-1.6.0-r2.apk | 79.3 KiB | 2024-03-24 02:12:50 |
py3-pyinstrument-4.6.2-r1.apk | 79.5 KiB | 2024-04-15 21:52:00 |
py3-caldav-pyc-1.3.9-r1.apk | 79.6 KiB | 2024-04-15 21:51:30 |
libeantic-2.0.2-r1.apk | 79.6 KiB | 2024-04-22 18:58:15 |
py3-django-debug-toolbar-pyc-4.3-r1.apk | 79.8 KiB | 2024-04-15 21:51:31 |
libwbxml-0.11.8-r0.apk | 79.9 KiB | 2022-03-19 09:09:12 |
hidrd-0.2.0_git20190603-r1.apk | 80.0 KiB | 2022-11-02 08:47:22 |
ocaml-tsdl-image-dev-0.6-r0.apk | 80.1 KiB | 2024-04-22 06:21:45 |
hy-0.28.0-r1.apk | 80.1 KiB | 2024-04-15 21:51:02 |
irccd-doc-4.0.3-r0.apk | 80.1 KiB | 2023-07-29 20:02:48 |
firewalld-doc-2.1.2-r0.apk | 80.2 KiB | 2024-04-13 17:05:19 |
vit-2.3.2-r1.apk | 80.2 KiB | 2024-04-15 21:52:08 |
lxappearance-lang-0.6.3-r3.apk | 80.2 KiB | 2023-05-29 03:20:28 |
py3-publicsuffix2-2.20191221-r5.apk | 80.4 KiB | 2024-04-15 14:28:21 |
py3-tg-pyc-0.19.0-r4.apk | 80.4 KiB | 2024-04-15 21:52:04 |
perl-net-amqp-rabbitmq-2.40010-r2.apk | 80.4 KiB | 2023-07-04 00:01:46 |
freediameter-libfdproto-1.5.0-r1.apk | 80.6 KiB | 2022-11-06 10:59:44 |
bliss-0.77-r1.apk | 80.7 KiB | 2023-08-01 12:37:56 |
py3-winacl-0.1.8-r1.apk | 80.8 KiB | 2024-04-15 21:52:05 |
asteroid-btsyncd-2.0.0-r0.apk | 80.9 KiB | 2023-09-01 06:32:06 |
perl-gtk2-ex-widgetbits-doc-48-r3.apk | 81.0 KiB | 2023-07-04 00:01:46 |
py3-unearth-pyc-0.15.2-r0.apk | 81.0 KiB | 2024-04-25 19:39:49 |
py3-sphinx-theme-cloud-1.10.0-r2.apk | 81.0 KiB | 2024-04-15 21:52:02 |
ding-libs-0.6.2-r4.apk | 81.1 KiB | 2023-11-09 08:43:15 |
reprotest-0.7.27-r0.apk | 81.1 KiB | 2024-04-17 23:52:23 |
perl-pango-doc-1.227-r10.apk | 81.2 KiB | 2023-07-04 00:01:46 |
ccze-0.2.1-r1.apk | 81.4 KiB | 2022-09-07 21:01:26 |
perl-cairo-1.109-r3.apk | 81.6 KiB | 2023-07-04 00:01:45 |
py3-django-mptt-0.16-r2.apk | 81.7 KiB | 2024-04-15 21:51:31 |
apache2-mod-perl-dbg-2.0.13-r0.apk | 81.8 KiB | 2023-10-22 13:00:41 |
getssl-2.48-r0.apk | 81.9 KiB | 2024-02-16 13:59:44 |
tree-sitter-ssh-client-config-2024.5.2-r0.apk | 81.9 KiB | 2024-05-03 19:48:00 |
py3-plexapi-doc-4.15.12-r0.apk | 82.1 KiB | 2024-05-09 03:06:13 |
mailutils-servers-3.17-r0.apk | 82.1 KiB | 2024-01-19 17:51:56 |
py3-fastavro-pyc-1.9.4-r2.apk | 82.1 KiB | 2024-05-09 03:06:13 |
perl-pango-1.227-r10.apk | 82.3 KiB | 2023-07-04 00:01:46 |
opkg-libs-0.6.2-r0.apk | 82.4 KiB | 2023-11-27 21:03:55 |
silc-client-doc-1.1.11-r16.apk | 82.5 KiB | 2023-10-18 16:23:34 |
py3-marshmallow-pyc-3.21.1-r1.apk | 82.6 KiB | 2024-04-15 21:51:54 |
pantalaimon-pyc-0.10.5-r4.apk | 82.7 KiB | 2024-04-15 15:00:19 |
planarity-libs-3.0.2.0-r2.apk | 82.8 KiB | 2023-08-01 12:38:05 |
nmon-16q-r0.apk | 82.8 KiB | 2024-04-30 03:47:36 |
idevicerestore-1.0.0-r3.apk | 82.9 KiB | 2023-05-27 09:50:49 |
ocaml-compiler-libs-repackaged-0.12.4-r3.apk | 83.1 KiB | 2024-03-24 02:11:57 |
libiml-1.0.5-r3.apk | 83.2 KiB | 2023-08-01 12:38:02 |
php81-mysqlnd-8.1.28-r0.apk | 83.2 KiB | 2024-04-10 23:39:35 |
youtube-viewer-3.11.1-r0.apk | 83.2 KiB | 2024-03-14 08:40:42 |
pegtl-3.2.7-r0.apk | 83.4 KiB | 2023-09-21 21:54:42 |
video-trimmer-lang-0.8.2-r0.apk | 83.4 KiB | 2023-10-09 14:56:03 |
lomiri-action-api-1.1.3-r0.apk | 83.9 KiB | 2024-02-06 23:41:36 |
py3-gls-pyc-1.3.1-r1.apk | 83.9 KiB | 2024-04-15 21:51:35 |
horizon-tools-0.9.6-r9.apk | 84.1 KiB | 2024-04-22 18:58:15 |
libstirshaken-dev-0_git20240208-r2.apk | 84.2 KiB | 2024-02-08 09:25:42 |
dbus-broker-35-r0.apk | 84.2 KiB | 2024-01-04 19:26:40 |
way-displays-1.8.1-r2.apk | 84.4 KiB | 2023-09-11 09:14:45 |
perl-net-idn-encode-2.500-r0.apk | 84.4 KiB | 2024-01-14 12:53:34 |
openslide-3.4.1-r3.apk | 84.5 KiB | 2023-08-01 12:38:05 |
firehol-3.1.7-r2.apk | 84.5 KiB | 2023-05-12 18:07:33 |
py3-ovos-classifiers-0.0.0_alpha37-r1.apk | 84.6 KiB | 2024-04-15 21:51:59 |
py3-transitions-0.9.0-r3.apk | 84.8 KiB | 2024-04-15 21:52:04 |
py3-pyinstrument-pyc-4.6.2-r1.apk | 84.8 KiB | 2024-04-15 21:52:00 |
viewnior-lang-1.8-r1.apk | 84.9 KiB | 2023-06-15 06:35:23 |
crispy-doom-doc-6.0-r0.apk | 85.1 KiB | 2023-03-31 22:56:02 |
ocaml-ethernet-dev-3.0.0-r3.apk | 85.2 KiB | 2024-03-24 02:12:07 |
onnxruntime-dev-1.17.1-r1.apk | 85.2 KiB | 2024-04-15 21:51:23 |
libiml-static-1.0.5-r3.apk | 85.3 KiB | 2023-08-01 12:38:02 |
ocaml-happy-eyeballs-0.3.0-r2.apk | 85.3 KiB | 2024-03-24 02:12:09 |
fcitx5-kkc-5.1.3-r0.apk | 85.4 KiB | 2024-05-10 03:39:43 |
py3-flask-dbconfig-0.3.12-r8.apk | 85.4 KiB | 2024-04-15 21:51:35 |
musikcube-plugin-httpdatastream-3.0.2-r1.apk | 85.6 KiB | 2023-12-13 20:21:34 |
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r2.apk | 85.8 KiB | 2024-04-15 21:51:59 |
arc-dark-gtk4-20221218-r0.apk | 86.0 KiB | 2023-01-07 13:34:32 |
lua5.1-luastatic-0.0.12-r1.apk | 86.2 KiB | 2022-11-02 08:48:21 |
libmustache-0.5.0-r1.apk | 86.3 KiB | 2022-11-02 08:47:57 |
py3-asysocks-0.2.12-r1.apk | 86.4 KiB | 2024-04-15 21:51:28 |
vim-airline-0.11-r0.apk | 86.4 KiB | 2021-05-30 14:23:19 |
libucl-dev-0.9.0-r0.apk | 86.6 KiB | 2024-02-02 21:18:03 |
aptdec-1.8.0-r0.apk | 86.9 KiB | 2023-02-22 11:32:57 |
datamash-1.8-r1.apk | 87.0 KiB | 2024-04-17 17:04:30 |
bananui-dev-2.0.0-r0.apk | 87.2 KiB | 2023-10-06 06:01:43 |
pimd-3.0_git20220201-r0.apk | 87.4 KiB | 2022-03-06 10:30:40 |
lfm-3.1-r4.apk | 87.9 KiB | 2024-04-15 21:51:05 |
btpd-0.16-r2.apk | 87.9 KiB | 2022-08-04 06:40:13 |
ocaml-arp-3.0.0-r3.apk | 88.0 KiB | 2024-03-24 02:11:50 |
duc-1.4.5-r0.apk | 88.0 KiB | 2023-06-27 16:29:36 |
ctorrent-dnh-3.3.2-r2.apk | 88.1 KiB | 2022-11-02 08:46:53 |
razercfg-0.42-r6.apk | 88.5 KiB | 2024-04-15 21:52:06 |
mongo-cxx-driver-dev-3.8.0-r0.apk | 88.6 KiB | 2023-08-19 06:40:42 |
asteroid-settings-2.0.0-r0.apk | 88.7 KiB | 2023-08-31 09:43:21 |
squashfs-tools-ng-dev-1.3.1-r0.apk | 88.8 KiB | 2024-05-03 04:48:41 |
py3-fpdf-pyc-1.7.2-r5.apk | 88.9 KiB | 2024-04-15 21:51:35 |
perl-anyevent-xmpp-0.55-r0.apk | 89.1 KiB | 2024-01-06 22:16:27 |
xfce4-mixer-4.18.1-r2.apk | 89.1 KiB | 2023-10-31 06:57:49 |
createrepo_c-libs-1.0.2-r1.apk | 89.3 KiB | 2024-04-15 21:50:55 |
py3-cdio-2.1.1-r4.apk | 89.6 KiB | 2024-04-15 21:51:30 |
py3-pypubsub-pyc-4.0.3-r0.apk | 89.7 KiB | 2024-05-05 05:25:01 |
py3-ovos-backend-client-pyc-0.1.0_alpha13-r1.apk | 89.7 KiB | 2024-04-15 21:51:59 |
lua-lut-1.2.1-r0.apk | 89.9 KiB | 2019-06-25 19:10:13 |
ocaml-iso8601-dev-0.2.6-r0.apk | 90.0 KiB | 2024-04-22 06:21:39 |
perl-soap-lite-doc-1.27-r5.apk | 90.2 KiB | 2023-07-04 00:01:46 |
py3-pytube-pyc-15.0.0-r1.apk | 90.3 KiB | 2024-04-15 21:52:01 |
libmygpo-qt-1.1.0-r2.apk | 90.3 KiB | 2024-05-09 03:06:11 |
ovos-skill-manager-pyc-0.0.13-r1.apk | 90.3 KiB | 2024-04-15 21:51:24 |
gammastep-2.0.9-r3.apk | 90.3 KiB | 2024-04-15 21:50:56 |
tcmu-runner-1.6.0-r5.apk | 90.5 KiB | 2023-09-01 08:03:19 |
soundfont-vintage-dreams-waves-2.1-r1.apk | 90.6 KiB | 2022-04-17 06:28:54 |
py3-confluent-kafka-1.8.2-r4.apk | 91.0 KiB | 2024-04-15 21:51:30 |
py3-rosdistro-pyc-0.9.0-r3.apk | 91.0 KiB | 2024-04-15 21:52:01 |
tree-sitter-dart-0_git20230123-r1.apk | 91.0 KiB | 2023-11-15 21:54:00 |
emacs-elfeed-3.4.1_git20240326-r0.apk | 91.1 KiB | 2024-04-02 07:53:25 |
libigraph-dev-0.10.11-r0.apk | 91.1 KiB | 2024-04-10 23:39:34 |
gearman-libs-1.1.21-r1.apk | 91.2 KiB | 2024-04-22 18:58:14 |
ustr-dev-1.0.4-r1.apk | 91.2 KiB | 2023-05-15 20:52:01 |
py3-mistletoe-pyc-1.2.1-r1.apk | 91.3 KiB | 2024-04-15 21:51:55 |
lua5.1-libguestfs-1.52.0-r1.apk | 91.5 KiB | 2024-04-15 21:51:19 |
py3-pyatem-pyc-0.5.0-r3.apk | 91.5 KiB | 2024-04-15 21:52:00 |
armagetronad-doc-0.2.9.1.1-r0.apk | 91.7 KiB | 2024-02-12 23:12:35 |
libnfc-tools-1.8.0-r1.apk | 91.8 KiB | 2023-05-15 20:51:50 |
ocaml-bigstringaf-dev-0.9.0-r2.apk | 91.9 KiB | 2024-03-24 02:11:52 |
xandikos-0.2.11-r1.apk | 92.1 KiB | 2024-04-15 21:52:08 |
mimedefang-doc-3.4.1-r0.apk | 92.3 KiB | 2023-05-01 16:45:14 |
katarakt-0.2-r0.apk | 92.4 KiB | 2022-02-02 03:38:21 |
py3-qbittorrent-api-pyc-2024.3.60-r0.apk | 92.5 KiB | 2024-04-01 18:10:16 |
zapret-0.0.0_git20220125-r0.apk | 92.7 KiB | 2022-02-02 11:47:44 |
arc-dark-gtk3-20221218-r0.apk | 93.0 KiB | 2023-01-07 13:34:32 |
sqliteodbc-0.99991-r0.apk | 93.0 KiB | 2023-12-23 01:35:02 |
ocaml-base64-3.5.0-r2.apk | 93.0 KiB | 2024-03-24 02:11:52 |
libmdbx-dev-0.11.8-r0.apk | 93.2 KiB | 2022-07-02 04:27:54 |
grommunio-mapi-header-php-1.3-r0.apk | 93.5 KiB | 2024-04-26 06:32:58 |
py3-arcus-5.3.0-r0.apk | 93.6 KiB | 2024-04-21 18:49:28 |
ocaml-merlin-extend-dev-0.6.1-r2.apk | 93.7 KiB | 2024-03-24 02:12:19 |
py3-unicrypto-pyc-0.0.10-r2.apk | 93.8 KiB | 2024-04-15 21:52:05 |
ocaml-logs-dev-0.7.0-r3.apk | 93.9 KiB | 2024-03-24 02:12:17 |
elf_diff-0.7.1-r1.apk | 93.9 KiB | 2024-04-15 21:50:55 |
gst-rtsp-server-dev-1.24.3-r0.apk | 94.1 KiB | 2024-05-07 08:21:56 |
cpplint-pyc-1.6.1_git20240320-r1.apk | 94.2 KiB | 2024-04-15 21:50:55 |
py3-xlwt-1.3.0-r9.apk | 94.3 KiB | 2024-04-15 21:52:05 |
libretro-pocketcdg-0_git20220327-r0.apk | 94.9 KiB | 2022-04-21 10:27:42 |
ocaml-uuseg-14.0.0-r2.apk | 95.0 KiB | 2024-04-22 06:21:47 |
znc-push-0_git20220823-r7.apk | 95.0 KiB | 2023-11-09 08:43:30 |
py3-flask-peewee-pyc-3.0.4-r6.apk | 95.2 KiB | 2024-04-15 21:51:35 |
libiscsi-utils-1.19.0-r2.apk | 95.3 KiB | 2023-05-15 20:51:50 |
hyprcursor-0.1.7-r0.apk | 95.4 KiB | 2024-04-23 08:03:23 |
dooit-pyc-2.2.0-r1.apk | 95.5 KiB | 2024-04-15 21:50:55 |
ocaml-ptmap-dev-2.0.5-r3.apk | 95.7 KiB | 2024-03-24 02:12:35 |
rmlint-shredder-2.10.2-r1.apk | 95.7 KiB | 2024-04-15 21:52:07 |
py3-soappy-pyc-0.52.28-r2.apk | 95.8 KiB | 2024-04-15 21:52:02 |
ustr-doc-1.0.4-r1.apk | 96.3 KiB | 2023-05-15 20:52:01 |
primesieve-libs-12.3-r0.apk | 96.3 KiB | 2024-05-01 17:13:11 |
py3-pystache-pyc-0.6.5-r1.apk | 96.4 KiB | 2024-04-15 21:52:00 |
ccrtp-2.1.2-r0.apk | 96.4 KiB | 2022-06-04 21:01:12 |
libsemanage-3.6-r0.apk | 96.6 KiB | 2023-12-28 04:20:31 |
lumina-desktop-sudo-1.6.2-r0.apk | 96.7 KiB | 2022-07-05 19:11:22 |
gufw-pyc-24.04-r1.apk | 96.8 KiB | 2024-04-15 21:50:57 |
eclib-dev-20231212-r1.apk | 97.1 KiB | 2024-04-22 18:58:09 |
ssdfs-tools-4.09-r0.apk | 97.2 KiB | 2023-03-13 09:12:21 |
py3-drf-yasg-pyc-1.21.7-r1.apk | 97.2 KiB | 2024-04-15 21:51:33 |
py3-chameleon-4.5.4-r0.apk | 97.2 KiB | 2024-04-14 12:23:28 |
py3-avro-1.11.3-r1.apk | 97.4 KiB | 2024-04-15 21:51:29 |
ocaml-ssl-0.7.0-r0.apk | 97.4 KiB | 2024-04-22 06:21:41 |
ginac-doc-1.8.7-r1.apk | 97.7 KiB | 2024-01-28 13:57:51 |
py3-qpageview-0.6.2-r1.apk | 97.8 KiB | 2024-04-15 21:52:01 |
htmldoc-doc-1.9.18-r0.apk | 97.8 KiB | 2024-02-12 10:51:42 |
azote-pyc-1.12.7-r0.apk | 97.9 KiB | 2024-05-06 21:38:20 |
soqt-dev-1.6.0-r0.apk | 98.0 KiB | 2021-11-23 23:57:25 |
hpnssh-doc-18.4.1-r0.apk | 98.0 KiB | 2024-05-07 19:58:26 |
mpdcron-0.3-r1.apk | 98.1 KiB | 2022-11-02 08:48:37 |
zapret-doc-0.0.0_git20220125-r0.apk | 98.3 KiB | 2022-02-02 11:47:44 |
castero-pyc-0.9.5-r2.apk | 98.5 KiB | 2023-04-24 09:28:49 |
ocaml-psq-0.2.0-r2.apk | 98.5 KiB | 2024-03-24 02:12:35 |
perl-sys-virt-doc-10.2.0-r0.apk | 98.5 KiB | 2024-04-09 12:18:01 |
lomiri-telephony-service-lang-0.5.3-r0.apk | 98.6 KiB | 2024-02-06 23:41:36 |
ocaml-mew-dev-0.1.0-r3.apk | 98.6 KiB | 2024-03-24 02:12:19 |
b4-0.13.0-r0.apk | 98.7 KiB | 2024-02-17 21:16:38 |
u1db-qt-0.1.7-r0.apk | 98.7 KiB | 2023-12-17 21:24:39 |
jdebp-redo-1.4-r1.apk | 98.8 KiB | 2022-06-11 21:17:46 |
lua5.2-penlight-1.3.0-r1.apk | 99.0 KiB | 2022-07-26 07:50:47 |
libmhash-0.9.9.9-r3.apk | 99.1 KiB | 2022-10-14 16:16:43 |
burp-doc-3.1.4-r0.apk | 99.1 KiB | 2023-03-17 19:03:00 |
cddlib-tools-0.94m-r2.apk | 99.2 KiB | 2023-08-01 12:37:56 |
lomiri-ui-toolkit-lang-1.3.5100-r0.apk | 99.2 KiB | 2024-03-23 00:02:52 |
sshuttle-pyc-1.1.1-r2.apk | 99.4 KiB | 2024-04-15 21:52:07 |
lomiri-notifications-1.3.0-r0.apk | 99.4 KiB | 2023-12-17 21:24:38 |
libgivaro-static-4.2.0-r2.apk | 99.5 KiB | 2023-08-01 12:38:02 |
sblim-wbemcli-1.6.3-r1.apk | 99.8 KiB | 2022-11-02 08:49:08 |
lomiri-settings-components-lang-1.1.1-r0.apk | 99.8 KiB | 2024-02-06 23:41:36 |
libctl-4.5.1-r1.apk | 99.8 KiB | 2023-05-15 20:51:50 |
py3-qdldl-0.1.5-r3.apk | 100.0 KiB | 2024-04-15 21:52:01 |
singular-emacs-4.3.2-r2.apk | 100.1 KiB | 2023-08-01 12:38:06 |
caffeine-ng-4.2.0-r1.apk | 100.1 KiB | 2024-04-16 01:33:13 |
k2-0_git20220807-r1.apk | 100.2 KiB | 2023-08-01 12:38:01 |
hdf4-dev-4.2.15-r1.apk | 100.4 KiB | 2023-05-15 20:51:45 |
catfish-pyc-4.18.0-r2.apk | 100.5 KiB | 2024-04-15 21:50:55 |
libiio-tools-0.25-r1.apk | 100.5 KiB | 2024-04-15 21:51:07 |
getmail6-pyc-6.18.14-r1.apk | 101.0 KiB | 2024-04-15 21:50:56 |
csfml-2.5.2-r0.apk | 101.3 KiB | 2023-07-01 06:44:39 |
otf-atkinson-hyperlegible-2020.0514-r0.apk | 101.4 KiB | 2020-11-22 21:12:14 |
getdns-doc-1.7.3-r0.apk | 101.4 KiB | 2023-02-22 16:35:42 |
avarice-2.14-r3.apk | 101.6 KiB | 2022-10-21 21:52:53 |
openscap-daemon-pyc-0.1.10-r9.apk | 101.6 KiB | 2024-04-15 21:51:23 |
usbguard-1.1.2-r8.apk | 102.0 KiB | 2024-01-03 19:29:59 |
yosys-dev-0.36-r3.apk | 102.2 KiB | 2024-04-22 18:59:17 |
ocaml-num-dev-1.4-r3.apk | 102.3 KiB | 2024-03-24 02:12:21 |
nm-tray-0.5.0-r0.apk | 102.4 KiB | 2024-01-28 22:12:59 |
h4h5tools-2.2.5-r3.apk | 102.5 KiB | 2024-05-05 05:24:56 |
mpop-lang-1.4.18-r0.apk | 102.8 KiB | 2023-01-31 22:01:29 |
py3-catkin-pkg-pyc-0.5.2-r3.apk | 102.8 KiB | 2024-04-15 21:51:30 |
ttfautohint-libs-1.8.4-r0.apk | 102.9 KiB | 2024-05-06 10:51:57 |
squashfs-tools-ng-1.3.1-r0.apk | 103.0 KiB | 2024-05-03 04:48:41 |
reprotest-pyc-0.7.27-r0.apk | 103.1 KiB | 2024-04-17 23:52:23 |
py3-ovos-ocp-files-plugin-pyc-0.13.0-r1.apk | 103.5 KiB | 2024-04-15 21:51:59 |
prosody-mod-register_json-0.11_hg20201208-r0.apk | 103.5 KiB | 2020-12-08 21:10:45 |
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk | 103.6 KiB | 2024-03-24 02:11:57 |
pithos-1.6.1-r0.apk | 104.2 KiB | 2023-05-30 21:22:33 |
simavr-1.7-r1.apk | 104.2 KiB | 2022-11-02 08:49:09 |
ruby-build-20240423-r0.apk | 104.3 KiB | 2024-04-30 22:06:40 |
dsp-1.9-r1.apk | 104.5 KiB | 2023-02-28 00:05:22 |
py3-hiplot-0.1.33-r1.apk | 104.5 KiB | 2024-04-15 21:51:35 |
wf-config-0.8.0-r0.apk | 104.6 KiB | 2023-11-25 23:42:14 |
pari-dev-2.15.4-r0.apk | 104.7 KiB | 2023-07-11 03:38:14 |
wayqt-0.2.0-r0.apk | 104.8 KiB | 2023-12-30 13:27:24 |
mediastreamer2-doc-5.3.38-r0.apk | 105.0 KiB | 2024-04-13 17:05:21 |
subliminal-pyc-2.1.0-r4.apk | 105.4 KiB | 2024-04-15 21:52:07 |
libofx-tools-0.10.9-r1.apk | 105.5 KiB | 2023-08-26 11:17:07 |
qdjango-0.6.2-r0.apk | 105.5 KiB | 2023-11-04 16:55:09 |
anarch-1.0-r1.apk | 105.5 KiB | 2022-08-20 13:32:46 |
fceux-doc-2.6.6-r1.apk | 105.6 KiB | 2023-12-13 20:21:31 |
ocaml-xmlm-dev-1.4.0-r2.apk | 105.7 KiB | 2024-03-24 02:12:52 |
transmission-remote-gtk-lang-1.6.0-r0.apk | 105.7 KiB | 2023-06-20 16:08:28 |
ocaml-charinfo_width-1.1.0-r3.apk | 106.0 KiB | 2024-03-24 02:11:57 |
openmg-0.0.9-r0.apk | 106.0 KiB | 2023-04-29 21:47:30 |
py3-ncclient-pyc-0.6.13-r4.apk | 106.4 KiB | 2024-04-15 21:51:55 |
py3-hg-git-pyc-1.1.1-r1.apk | 106.5 KiB | 2024-04-15 21:51:35 |
py3-lsprotocol-pyc-2023.0.1-r1.apk | 106.9 KiB | 2024-04-15 21:51:54 |
otrs-setup-6.0.48-r1.apk | 107.1 KiB | 2024-01-15 09:42:35 |
py3-litex-hub-pythondata-cpu-lm32-2023.12-r4.apk | 107.6 KiB | 2024-04-15 21:51:44 |
pyradio-doc-0.9.3.4-r0.apk | 107.6 KiB | 2024-05-04 11:20:52 |
rizin-cutter-dev-2.3.2-r2.apk | 107.6 KiB | 2024-04-16 16:48:49 |
elf_diff-pyc-0.7.1-r1.apk | 107.7 KiB | 2024-04-15 21:50:55 |
handlebars-1.0.0-r1.apk | 107.8 KiB | 2023-05-15 20:51:45 |
ocaml-fpath-dev-0.7.3-r2.apk | 107.9 KiB | 2024-03-24 02:12:08 |
py3-cchardet-2.1.7-r4.apk | 108.0 KiB | 2024-04-15 21:51:30 |
py3-dnslib-pyc-0.9.24-r1.apk | 108.0 KiB | 2024-04-15 21:51:31 |
ocaml-libvirt-dev-0.6.1.7-r0.apk | 108.0 KiB | 2024-03-24 02:12:17 |
policycoreutils-lang-3.6-r0.apk | 108.1 KiB | 2024-01-08 09:44:33 |
lomiri-gallery-app-lang-3.0.2-r0.apk | 108.3 KiB | 2024-03-08 21:43:24 |
py3-osqp-0.6.2-r5.apk | 108.4 KiB | 2024-04-15 21:51:59 |
ruby-libguestfs-1.52.0-r1.apk | 108.7 KiB | 2024-04-15 21:52:07 |
bananui-shell-0.2.0-r0.apk | 109.3 KiB | 2024-02-28 00:46:15 |
php81-pecl-imagick-3.7.0-r5.apk | 109.4 KiB | 2024-04-10 23:39:35 |
daemontools-0.76-r2.apk | 109.4 KiB | 2022-11-02 08:46:54 |
libsbsms-2.3.0-r0.apk | 109.4 KiB | 2021-09-04 16:01:29 |
emacs-embark-1.0_git20240327-r0.apk | 109.4 KiB | 2024-04-09 14:46:21 |
py3-langcodes-pyc-3.3.0-r2.apk | 109.5 KiB | 2024-04-15 21:51:36 |
firewalld-gui-2.1.2-r0.apk | 109.6 KiB | 2024-04-13 17:05:19 |
font-cousine-0_git20210228-r0.apk | 109.7 KiB | 2024-02-23 02:13:21 |
hypnotix-3.5-r0.apk | 109.8 KiB | 2023-07-18 00:18:36 |
arc-darker-gtk4-20221218-r0.apk | 109.9 KiB | 2023-01-07 13:34:32 |
detox-2.0.0-r0.apk | 109.9 KiB | 2024-04-01 19:42:25 |
perl-soap-lite-1.27-r5.apk | 110.0 KiB | 2023-07-04 00:01:46 |
xtl-0.7.7-r0.apk | 110.3 KiB | 2024-01-12 17:59:28 |
py3-wstools-pyc-0.4.10-r6.apk | 110.5 KiB | 2024-04-15 21:52:05 |
libfoma-0.10.0_git20221230-r0.apk | 110.6 KiB | 2023-06-13 17:29:05 |
libabigail-tools-2.3-r0.apk | 110.9 KiB | 2023-05-04 07:46:59 |
menumaker-0.99.14-r1.apk | 111.0 KiB | 2022-10-14 16:16:43 |
ocaml-tsdl-ttf-dev-0.6-r0.apk | 111.0 KiB | 2024-04-22 06:21:45 |
maxima-emacs-5.47.0-r7.apk | 111.1 KiB | 2024-04-19 06:22:44 |
agg-2.5-r3.apk | 111.2 KiB | 2023-05-15 20:51:30 |
py3-litex-hub-valentyusb-2023.12-r4.apk | 111.3 KiB | 2024-04-15 21:51:54 |
compiz-pyc-0.9.14.2-r4.apk | 111.7 KiB | 2024-04-22 18:58:08 |
moe-1.14-r0.apk | 111.9 KiB | 2024-01-21 03:06:36 |
catdoc-0.95-r1.apk | 112.0 KiB | 2022-11-02 08:46:38 |
mediastreamer2-dev-5.3.38-r0.apk | 112.2 KiB | 2024-04-13 17:05:21 |
arc-lighter-gtk4-20221218-r0.apk | 112.6 KiB | 2023-01-07 13:34:32 |
libmhash-dev-0.9.9.9-r3.apk | 112.7 KiB | 2022-10-14 16:16:43 |
grommunio-gromox-doc-2.27-r0.apk | 112.9 KiB | 2024-04-26 06:32:58 |
x11docker-7.6.0-r1.apk | 113.2 KiB | 2023-12-19 15:01:39 |
arc-gtk4-20221218-r0.apk | 113.3 KiB | 2023-01-07 13:34:32 |
whipper-0.10.0-r5.apk | 113.3 KiB | 2024-04-15 21:52:08 |
otpclient-3.2.1-r1.apk | 113.5 KiB | 2023-11-15 14:38:33 |
py3-ovos-utils-0.0.36-r1.apk | 113.6 KiB | 2024-04-15 21:51:59 |
ocaml-mirage-flow-3.0.0-r3.apk | 114.1 KiB | 2024-03-24 02:12:20 |
wget2-2.1.0-r0.apk | 114.1 KiB | 2023-09-04 09:48:37 |
ocaml-easy-format-dev-1.3.4-r1.apk | 114.2 KiB | 2024-03-24 02:12:07 |
h4h5tools-static-2.2.5-r3.apk | 114.2 KiB | 2024-05-05 05:24:56 |
perl-libapreq2-2.17-r1.apk | 114.3 KiB | 2023-07-04 00:01:46 |
py3-transitions-pyc-0.9.0-r3.apk | 114.4 KiB | 2024-04-15 21:52:04 |
venc-pyc-3.1.1-r1.apk | 114.6 KiB | 2024-04-15 21:52:07 |
py3-flask-restaction-0.25.3-r8.apk | 114.6 KiB | 2024-04-15 21:51:35 |
roswell-22.12.14.113-r0.apk | 114.7 KiB | 2023-02-03 06:36:54 |
android-file-transfer-cli-4.3-r0.apk | 114.9 KiB | 2023-12-28 23:12:53 |
gtksourceviewmm4-3.91.1-r2.apk | 114.9 KiB | 2023-04-15 23:17:21 |
htslib-dev-1.19-r0.apk | 115.1 KiB | 2023-12-12 17:44:58 |
ocaml-ptime-1.0.0-r2.apk | 115.6 KiB | 2024-03-24 02:12:35 |
lpac-dbg-2.0.1-r0.apk | 115.8 KiB | 2024-04-28 19:05:55 |
interception-tools-0.6.8-r2.apk | 115.8 KiB | 2024-04-22 18:58:15 |
hamster-time-tracker-doc-3.0.3-r2.apk | 115.9 KiB | 2024-04-15 21:50:57 |
cluster-glue-libs-1.0.12-r5.apk | 116.2 KiB | 2023-04-29 21:47:14 |
icingaweb2-module-businessprocess-2.4.0-r0.apk | 116.4 KiB | 2022-08-15 15:56:41 |
ocaml-camlzip-1.11-r2.apk | 116.6 KiB | 2024-03-24 02:11:55 |
hw-probe-1.6.5-r1.apk | 117.0 KiB | 2023-07-04 00:01:45 |
phonon-backend-vlc-qt5-0.12.0-r0.apk | 117.8 KiB | 2023-11-02 15:06:46 |
uucp-doc-1.07-r5.apk | 117.9 KiB | 2023-06-19 18:40:21 |
perl-anyevent-xmpp-doc-0.55-r0.apk | 117.9 KiB | 2024-01-06 22:16:27 |
belr-5.3.38-r0.apk | 117.9 KiB | 2024-04-13 17:05:19 |
libthai-doc-0.1.29-r0.apk | 117.9 KiB | 2022-02-05 16:42:26 |
perl-git-raw-doc-0.90-r0.apk | 118.3 KiB | 2024-02-05 11:43:25 |
font-firamath-0.3.4-r0.apk | 118.3 KiB | 2022-08-18 19:20:59 |
wok-pyc-3.0.0-r6.apk | 118.4 KiB | 2024-04-15 21:52:08 |
tachyon-0.99_beta6-r1.apk | 118.5 KiB | 2024-03-29 11:24:21 |
stgit-1.3-r5.apk | 118.5 KiB | 2024-04-15 21:52:07 |
gtksourceviewmm3-3.21.3-r2.apk | 118.5 KiB | 2023-04-15 23:16:27 |
shellinabox-2.21-r3.apk | 118.6 KiB | 2023-05-15 20:51:56 |
py3-spnego-0.10.2-r1.apk | 118.7 KiB | 2024-04-15 21:52:03 |
bliss-dev-0.77-r1.apk | 118.7 KiB | 2023-08-01 12:37:56 |
granite7-7.4.0-r0.apk | 118.8 KiB | 2023-12-23 01:34:55 |
rosdep-pyc-0.19.0-r5.apk | 119.1 KiB | 2024-04-15 21:52:07 |
perl-dbix-class-helpers-doc-2.036000-r3.apk | 119.2 KiB | 2023-07-04 00:01:46 |
py3-kazoo-0_git20211202-r3.apk | 119.3 KiB | 2024-04-15 21:51:36 |
atomicparsley-20221229-r1.apk | 119.6 KiB | 2023-02-11 14:02:10 |
py3-solidpython-pyc-1.1.2-r2.apk | 119.7 KiB | 2024-04-15 21:52:02 |
py3-scs-3.2.3-r3.apk | 119.8 KiB | 2024-04-15 21:52:01 |
ocaml-jsonm-1.0.2-r0.apk | 120.2 KiB | 2024-04-22 06:21:39 |
py3-pyexmdb-1.11-r0.apk | 120.5 KiB | 2024-04-26 06:33:11 |
telegram-tdlib-dev-1.8.9-r1.apk | 120.6 KiB | 2023-05-15 20:51:59 |
ucspi-tcp-0.88-r2.apk | 120.6 KiB | 2022-11-02 08:49:31 |
compiz-dev-0.9.14.2-r4.apk | 120.8 KiB | 2024-04-22 18:58:08 |
faust-tools-2.60.3-r2.apk | 121.1 KiB | 2023-06-29 02:17:48 |
ocaml-lwt_react-5.7.0-r0.apk | 121.6 KiB | 2024-03-24 02:12:18 |
chocolate-doom-doc-3.0.1-r3.apk | 121.6 KiB | 2023-02-13 14:23:29 |
opkg-dev-0.6.2-r0.apk | 121.8 KiB | 2023-11-27 21:03:55 |
php81-phar-8.1.28-r0.apk | 122.1 KiB | 2024-04-10 23:39:35 |
iipsrv-1.2-r0.apk | 122.2 KiB | 2023-10-05 05:25:00 |
py3-m2crypto-pyc-0.41.0-r1.apk | 122.4 KiB | 2024-04-15 21:51:54 |
sympow-2.023.6-r1.apk | 122.4 KiB | 2023-08-01 12:38:07 |
libneo4j-client-dev-2.2.0-r3.apk | 123.2 KiB | 2022-08-20 20:31:54 |
arc-darker-gtk3-20221218-r0.apk | 123.5 KiB | 2023-01-07 13:34:32 |
ocaml-eqaf-dev-0.8-r2.apk | 123.6 KiB | 2024-03-24 02:12:07 |
mir-demos-2.15.0-r1.apk | 123.7 KiB | 2024-04-22 18:58:32 |
drogon-dev-1.9.4-r0.apk | 124.3 KiB | 2024-05-05 21:25:56 |
arc-lighter-gtk3-20221218-r0.apk | 124.3 KiB | 2023-01-07 13:34:32 |
rmlint-shredder-pyc-2.10.2-r1.apk | 124.4 KiB | 2024-04-15 21:52:07 |
osmctools-0.9-r0.apk | 124.8 KiB | 2023-03-31 21:22:37 |
py3-jsonschema417-pyc-4.17.3-r1.apk | 125.0 KiB | 2024-04-15 21:51:36 |
ocaml-logs-0.7.0-r3.apk | 125.0 KiB | 2024-03-24 02:12:17 |
fox-adie-1.6.57-r0.apk | 125.1 KiB | 2022-08-08 10:58:08 |
ginger-lang-2.4.0-r7.apk | 125.2 KiB | 2024-04-15 21:50:56 |
arc-gtk3-20221218-r0.apk | 125.6 KiB | 2023-01-07 13:34:32 |
py3-winacl-pyc-0.1.8-r1.apk | 125.7 KiB | 2024-04-15 21:52:05 |
apk-tools3-libs-3.0.0_pre2_git20240401-r0.apk | 126.2 KiB | 2024-04-02 19:48:16 |
topgit-0.19.13-r1.apk | 126.4 KiB | 2022-11-02 08:49:25 |
lumina-desktop-photo-1.6.2-r0.apk | 126.4 KiB | 2022-07-05 19:11:22 |
py3-minidump-pyc-0.0.23-r1.apk | 126.4 KiB | 2024-04-15 21:51:55 |
sbase-0_git20210730-r2.apk | 126.8 KiB | 2022-11-02 08:49:08 |
icmake-doc-9.03.01-r0.apk | 127.1 KiB | 2023-10-06 15:44:47 |
libvisio2svg-utils-0.5.5-r3.apk | 127.2 KiB | 2023-04-29 21:47:16 |
cdist-pyc-7.0.0-r5.apk | 127.3 KiB | 2024-04-15 21:50:55 |
xosview-1.24-r0.apk | 127.4 KiB | 2024-03-25 15:45:03 |
zfsbootmenu-2.3.0-r1.apk | 128.0 KiB | 2024-05-07 22:00:25 |
py3-github3-4.0.1-r1.apk | 128.0 KiB | 2024-04-15 21:51:35 |
py3-poppler-qt5-21.3.0-r1.apk | 128.2 KiB | 2024-04-15 21:52:00 |
py3-minikerberos-0.4.4-r1.apk | 128.4 KiB | 2024-04-15 21:51:55 |
liboggz-1.1.1-r2.apk | 128.6 KiB | 2022-11-02 08:47:57 |
zile-2.6.2-r0.apk | 128.7 KiB | 2022-09-20 00:56:23 |
tree-sitter-markdown-0.2.3-r0.apk | 128.7 KiB | 2024-03-22 22:10:51 |
fdm-2.2-r0.apk | 129.0 KiB | 2023-03-19 05:17:18 |
openttd-openmsx-0.4.2-r0.apk | 129.1 KiB | 2021-10-17 13:40:55 |
barnyard2-2.1.14_git20160413-r1.apk | 129.1 KiB | 2022-11-02 08:46:34 |
py3-ovos-plugin-manager-pyc-0.0.24-r1.apk | 129.2 KiB | 2024-04-15 21:51:59 |
modem-manager-gui-lang-0.0.20-r0.apk | 129.3 KiB | 2021-10-29 13:58:27 |
libsimplebluez-0.6.1-r1.apk | 129.3 KiB | 2023-07-29 20:02:51 |
tpm2-pkcs11-1.9.0-r1.apk | 129.3 KiB | 2023-04-22 10:54:27 |
w_scan2-1.0.15-r0.apk | 129.8 KiB | 2024-01-04 08:02:38 |
wayfire-dev-0.8.1-r0.apk | 130.2 KiB | 2024-03-15 06:19:31 |
ocaml-integers-0.7.0-r2.apk | 130.3 KiB | 2024-03-24 02:12:10 |
vcdimager-dev-2.0.1-r3.apk | 130.6 KiB | 2023-04-29 21:47:36 |
android-file-transfer-libs-4.3-r0.apk | 130.6 KiB | 2023-12-28 23:12:53 |
bdfr-2.6.2-r1.apk | 130.7 KiB | 2024-04-15 21:50:53 |
py3-chameleon-pyc-4.5.4-r0.apk | 130.8 KiB | 2024-04-14 12:23:28 |
hidrd-dev-0.2.0_git20190603-r1.apk | 131.7 KiB | 2022-11-02 08:47:22 |
libretro-xrick-0_git20220331-r0.apk | 131.8 KiB | 2022-04-21 10:27:43 |
berry-lang-1.1.0-r0.apk | 131.9 KiB | 2022-08-12 22:35:15 |
py3-ovos-workshop-pyc-0.0.13_alpha17-r1.apk | 132.0 KiB | 2024-04-15 21:51:59 |
arj-0_git20220125-r1.apk | 132.1 KiB | 2022-10-14 16:16:26 |
debconf-lang-1.5.82-r0.apk | 132.2 KiB | 2023-03-16 14:39:13 |
piper-tts-2023.11.14.2-r3.apk | 132.8 KiB | 2024-03-24 19:41:57 |
ecm-7.0.5-r1.apk | 133.2 KiB | 2023-05-15 20:51:34 |
py3-proplot-0.9.7-r2.apk | 133.4 KiB | 2024-04-15 21:52:00 |
py3-doit-pyc-0.36.0-r4.apk | 133.4 KiB | 2024-04-15 21:51:32 |
lfm-pyc-3.1-r4.apk | 133.5 KiB | 2024-04-15 21:51:05 |
cgiirc-0.5.12-r1.apk | 133.7 KiB | 2023-12-25 08:11:35 |
icmake-9.03.01-r0.apk | 133.8 KiB | 2023-10-06 15:44:47 |
liboggz-doc-1.1.1-r2.apk | 134.0 KiB | 2022-11-02 08:47:57 |
py3-rapidjson-1.12-r1.apk | 134.3 KiB | 2024-04-15 21:52:01 |
libsbsms-dev-2.3.0-r0.apk | 134.4 KiB | 2021-09-04 16:01:29 |
ocaml-lwt_log-1.1.1-r5.apk | 134.5 KiB | 2024-03-24 02:12:18 |
fcitx5-dev-5.1.9-r0.apk | 134.5 KiB | 2024-04-25 19:25:22 |
py3-ovos-classifiers-pyc-0.0.0_alpha37-r1.apk | 134.6 KiB | 2024-04-15 21:51:59 |
mapnik-doc-3.1.0-r25.apk | 135.0 KiB | 2023-11-13 21:47:54 |
libvoikko-4.3.2-r1.apk | 135.7 KiB | 2024-04-15 21:51:18 |
neard-0.19-r0.apk | 136.1 KiB | 2023-09-19 19:51:06 |
getmail6-doc-6.18.14-r1.apk | 136.2 KiB | 2024-04-15 21:50:56 |
php82-pecl-teds-1.3.0-r0.apk | 136.4 KiB | 2022-11-10 14:41:05 |
php81-pecl-protobuf-4.26.0-r0.apk | 136.4 KiB | 2024-04-10 23:39:35 |
ocaml-fmt-dev-0.9.0-r2.apk | 136.5 KiB | 2024-03-24 02:12:08 |
py3-minio-pyc-7.2.0-r1.apk | 137.2 KiB | 2024-04-15 21:51:55 |
py3-msldap-0.5.10-r1.apk | 137.4 KiB | 2024-04-15 21:51:55 |
emacs-consult-1.4_git20240405-r0.apk | 137.5 KiB | 2024-04-09 14:46:21 |
wolfssh-1.4.17-r0.apk | 138.0 KiB | 2024-04-02 07:53:30 |
py3-marisa-trie-1.1.0-r0.apk | 138.1 KiB | 2024-04-15 21:51:54 |
perl-mce-doc-1.889-r0.apk | 138.2 KiB | 2023-09-14 10:34:36 |
py3-cmd2-2.4.3-r2.apk | 139.2 KiB | 2024-04-15 21:51:30 |
fcitx5-unikey-5.1.4-r0.apk | 139.2 KiB | 2024-05-10 03:39:49 |
motion-doc-4.6.0-r0.apk | 140.6 KiB | 2023-11-13 22:52:34 |
php81-soap-8.1.28-r0.apk | 140.7 KiB | 2024-04-10 23:39:36 |
motion-4.6.0-r0.apk | 140.8 KiB | 2023-11-13 22:52:34 |
nullmailer-2.2-r4.apk | 141.0 KiB | 2021-12-13 15:08:25 |
libtins-dev-4.5-r1.apk | 141.1 KiB | 2024-04-22 18:58:30 |
piper-tts-dev-2023.11.14.2-r3.apk | 141.1 KiB | 2024-03-24 19:41:57 |
ocaml-fpath-0.7.3-r2.apk | 141.4 KiB | 2024-03-24 02:12:08 |
log4cxx-dev-1.1.0-r1.apk | 141.6 KiB | 2023-09-16 10:06:05 |
php81-intl-8.1.28-r0.apk | 141.8 KiB | 2024-04-10 23:39:35 |
remake-1.5-r1.apk | 142.0 KiB | 2022-11-02 08:49:05 |
py3-migen-0.9.2-r2.apk | 142.4 KiB | 2024-04-15 21:51:54 |
py3-pika-1.3.2-r1.apk | 142.8 KiB | 2024-04-15 21:51:59 |
pnmixer-0.7.2-r3.apk | 143.0 KiB | 2023-10-11 17:35:47 |
ocaml-curses-1.0.10-r2.apk | 143.1 KiB | 2024-03-24 02:12:02 |
fcitx5-anthy-5.1.4-r0.apk | 143.3 KiB | 2024-05-10 03:39:43 |
py3-django-debug-toolbar-4.3-r1.apk | 143.3 KiB | 2024-04-15 21:51:31 |
slidge-0.1.0-r1.apk | 143.3 KiB | 2024-04-15 21:52:07 |
nbsdgames-5-r0.apk | 143.9 KiB | 2022-05-04 13:20:58 |
rauc-1.10.1-r0.apk | 144.0 KiB | 2023-08-08 17:42:16 |
grip-lang-4.2.4-r0.apk | 144.2 KiB | 2023-01-26 19:27:48 |
ocaml-domain-name-dev-0.4.0-r2.apk | 144.4 KiB | 2024-03-24 02:12:06 |
font-fira-code-vf-6.2-r0.apk | 145.1 KiB | 2022-07-26 02:52:50 |
boinc-screensaver-7.24.3-r0.apk | 145.5 KiB | 2024-02-22 01:03:47 |
php81-pecl-xdebug-3.3.2-r0.apk | 145.9 KiB | 2024-04-15 14:44:36 |
perl-ffi-platypus-doc-2.08-r0.apk | 146.6 KiB | 2024-02-03 09:53:58 |
py3-invoke-2.2.0-r2.apk | 146.7 KiB | 2024-04-15 21:51:36 |
py3-pelican-pyc-4.9.1-r2.apk | 147.0 KiB | 2024-04-15 21:51:59 |
binwalk-2.4.1-r0.apk | 147.1 KiB | 2024-05-01 09:33:29 |
sdparm-1.12-r1.apk | 147.7 KiB | 2022-11-02 08:49:09 |
openswitcher-0.5.0-r3.apk | 148.2 KiB | 2024-04-15 21:51:23 |
py3-opendht-3.1.7-r2.apk | 148.3 KiB | 2024-04-15 21:51:58 |
rmlint-2.10.2-r1.apk | 148.8 KiB | 2024-04-15 21:52:07 |
qml-box2d-0_git20180406-r0.apk | 148.9 KiB | 2020-05-21 17:38:55 |
xfce4-mailwatch-plugin-lang-1.3.1-r1.apk | 149.0 KiB | 2023-10-31 06:57:49 |
freediameter-libfdcore-1.5.0-r1.apk | 149.2 KiB | 2022-11-06 10:59:44 |
nb-7.12.1-r0.apk | 149.2 KiB | 2024-02-23 23:36:13 |
gatling-0.16-r4.apk | 149.6 KiB | 2023-08-07 20:54:23 |
primecount-libs-7.13-r0.apk | 149.7 KiB | 2024-05-01 17:13:11 |
py3-blockdiag-pyc-3.0.0-r4.apk | 149.8 KiB | 2024-04-15 21:51:29 |
libretro-dinothawr-0_git20220401-r0.apk | 149.9 KiB | 2022-04-21 10:27:32 |
php81-gd-8.1.28-r0.apk | 150.7 KiB | 2024-04-10 23:39:35 |
materia-gtk-theme-20210322-r1.apk | 150.8 KiB | 2022-11-02 08:48:32 |
yodl-4.02.00-r1.apk | 151.0 KiB | 2022-11-02 08:49:40 |
vit-pyc-2.3.2-r1.apk | 151.2 KiB | 2024-04-15 21:52:08 |
moosefs-chunkserver-3.0.117-r1.apk | 151.4 KiB | 2023-06-17 21:33:41 |
gdm-settings-4.3-r1.apk | 151.5 KiB | 2024-04-15 21:50:56 |
ocaml-happy-eyeballs-dev-0.3.0-r2.apk | 151.6 KiB | 2024-03-24 02:12:10 |
zutty-0.14-r0.apk | 151.6 KiB | 2023-10-27 05:37:35 |
py3-plexapi-4.15.12-r0.apk | 151.7 KiB | 2024-05-09 03:06:13 |
ocaml-mikmatch-1.0.9-r2.apk | 151.8 KiB | 2024-03-24 02:12:20 |
lizardfs-3.13.0-r13.apk | 152.1 KiB | 2024-04-22 18:58:30 |
phonon-backend-vlc-qt6-0.12.0-r0.apk | 153.2 KiB | 2023-11-02 15:06:46 |
fcitx5-lang-5.1.9-r0.apk | 153.3 KiB | 2024-04-25 19:25:22 |
transmission-remote-gtk-1.6.0-r0.apk | 153.4 KiB | 2023-06-20 16:08:28 |
ocaml-pcre-7.5.0-r4.apk | 153.5 KiB | 2024-03-24 02:12:25 |
pithos-pyc-1.6.1-r0.apk | 154.0 KiB | 2023-05-30 21:22:33 |
cscope-15.9-r1.apk | 154.1 KiB | 2022-10-14 16:16:26 |
py3-freetype-py-2.4.0-r1.apk | 154.1 KiB | 2024-04-15 21:51:35 |
gdm-settings-lang-4.3-r1.apk | 154.8 KiB | 2024-04-15 21:50:56 |
thefuck-pyc-3.32-r3.apk | 155.5 KiB | 2024-04-15 21:52:07 |
mimedefang-3.4.1-r0.apk | 155.5 KiB | 2023-05-01 16:45:14 |
hamster-time-tracker-3.0.3-r2.apk | 155.6 KiB | 2024-04-15 21:50:57 |
libqb-doc-2.0.8-r0.apk | 155.9 KiB | 2023-08-03 20:00:15 |
komikku-lang-1.39.0-r1.apk | 156.1 KiB | 2024-04-18 15:01:02 |
wok-3.0.0-r6.apk | 156.3 KiB | 2024-04-15 21:52:08 |
miraclecast-1.0_git20221016-r0.apk | 156.8 KiB | 2022-10-21 21:53:43 |
ttfautohint-dev-1.8.4-r0.apk | 157.0 KiB | 2024-05-06 10:51:57 |
steghide-0.5.1.1-r0.apk | 157.0 KiB | 2024-04-14 08:20:53 |
ocaml-ocplib-endian-1.2-r3.apk | 157.5 KiB | 2024-03-24 02:12:23 |
venc-3.1.1-r1.apk | 157.6 KiB | 2024-04-15 21:52:07 |
libsemanage-dev-3.6-r0.apk | 157.7 KiB | 2023-12-28 04:20:31 |
smplxmpp-0.9.3-r2.apk | 158.2 KiB | 2024-04-29 16:07:08 |
libntl-dev-11.5.1-r3.apk | 158.7 KiB | 2023-08-01 12:38:03 |
mailutils-doc-3.17-r0.apk | 158.8 KiB | 2024-01-19 17:51:56 |
ocaml-mqtt-0.2.2-r0.apk | 158.8 KiB | 2024-04-22 06:21:39 |
libm4ri-20200125-r4.apk | 159.7 KiB | 2023-08-01 12:38:02 |
libbamf-0.5.6-r1.apk | 159.9 KiB | 2023-10-20 07:21:52 |
lumina-desktop-fileinfo-1.6.2-r0.apk | 159.9 KiB | 2022-07-05 19:11:22 |
ocaml-arp-dev-3.0.0-r3.apk | 163.1 KiB | 2024-03-24 02:11:50 |
py3-netmiko-4.3.0-r1.apk | 163.6 KiB | 2024-04-15 21:51:55 |
kdiskmark-3.1.4-r1.apk | 163.8 KiB | 2023-10-17 16:44:00 |
libemf2svg-1.1.0-r2.apk | 163.8 KiB | 2022-11-02 08:47:57 |
perl-mce-1.889-r0.apk | 164.5 KiB | 2023-09-14 10:34:36 |
click-0.5.2-r1.apk | 164.7 KiB | 2024-04-15 21:50:55 |
py3-xlwt-pyc-1.3.0-r9.apk | 165.3 KiB | 2024-04-15 21:52:05 |
virtme-ng-1.24-r0.apk | 165.3 KiB | 2024-05-08 07:08:24 |
py3-tlslite-ng-0.7.6-r7.apk | 165.5 KiB | 2024-04-15 21:52:04 |
m17n-lib-1.8.4-r1.apk | 166.0 KiB | 2023-09-19 21:48:11 |
mame-plugins-0.251-r0.apk | 166.1 KiB | 2023-02-22 11:07:54 |
binwalk-pyc-2.4.1-r0.apk | 166.1 KiB | 2024-05-01 09:33:29 |
lumina-desktop-archiver-1.6.2-r0.apk | 166.3 KiB | 2022-07-05 19:11:19 |
ocaml-lru-dev-0.3.0-r2.apk | 166.8 KiB | 2024-03-24 02:12:17 |
py3-cssutils-2.10.2-r0.apk | 166.9 KiB | 2024-04-26 13:59:03 |
lomiri-indicator-network-lang-1.0.2-r0.apk | 167.7 KiB | 2024-02-06 23:41:36 |
mixxx-doc-2.3.6-r2.apk | 168.0 KiB | 2023-12-13 20:21:33 |
hy-pyc-0.28.0-r1.apk | 168.3 KiB | 2024-04-15 21:51:02 |
liblastfm-qt-1.1.10_git20190823-r3.apk | 169.0 KiB | 2024-05-09 03:06:11 |
bananui-dbg-2.0.0-r0.apk | 169.0 KiB | 2023-10-06 06:01:43 |
lumina-desktop-screenshot-1.6.2-r0.apk | 169.1 KiB | 2022-07-05 19:11:22 |
ptpd-2.3.1-r1.apk | 169.2 KiB | 2022-11-02 08:48:58 |
dinit-0.18.0-r1.apk | 169.7 KiB | 2024-01-28 11:40:32 |
ocaml-fix-20220121-r2.apk | 169.9 KiB | 2024-03-24 02:12:08 |
sc-im-0.8.3-r0.apk | 170.0 KiB | 2023-03-13 09:12:20 |
liboggz-dev-1.1.1-r2.apk | 170.3 KiB | 2022-11-02 08:47:57 |
xboard-doc-4.9.1-r2.apk | 170.3 KiB | 2023-08-01 12:38:08 |
libstirshaken-tools-0_git20240208-r2.apk | 170.3 KiB | 2024-02-08 09:25:42 |
ocaml-cairo2-0.6.2-r2.apk | 170.5 KiB | 2024-03-24 02:11:55 |
libretro-frodo-0_git20221221-r0.apk | 170.6 KiB | 2022-04-21 10:27:37 |
py3-levenshtein-0.25.1-r2.apk | 170.6 KiB | 2024-04-13 17:05:21 |
youtube-viewer-gtk-3.11.1-r0.apk | 170.7 KiB | 2024-03-14 08:40:42 |
gpscorrelate-doc-2.0_git20230605-r0.apk | 170.8 KiB | 2023-06-15 06:35:22 |
py3-asyauth-pyc-0.0.20-r1.apk | 171.1 KiB | 2024-04-15 21:51:28 |
py3-flask-peewee-3.0.4-r6.apk | 171.5 KiB | 2024-04-15 21:51:35 |
pidif-0.1-r1.apk | 171.7 KiB | 2023-05-24 07:48:21 |
kimchi-lang-3.0.0-r7.apk | 172.0 KiB | 2024-04-15 21:51:05 |
olsrd-0.9.8-r2.apk | 172.4 KiB | 2022-11-02 08:48:42 |
py3-html5-parser-0.4.12-r1.apk | 172.6 KiB | 2024-04-15 21:51:35 |
lizardfs-metalogger-3.13.0-r13.apk | 172.8 KiB | 2024-04-22 18:58:31 |
commoncpp-dev-7.0.1-r1.apk | 173.0 KiB | 2022-08-04 06:40:51 |
ocaml-sexplib0-0.16.0-r0.apk | 173.1 KiB | 2024-03-24 02:12:50 |
lomiri-ui-toolkit-dev-1.3.5100-r0.apk | 173.2 KiB | 2024-03-23 00:02:52 |
py3-langcodes-3.3.0-r2.apk | 173.3 KiB | 2024-04-15 21:51:36 |
wolfssh-dev-1.4.17-r0.apk | 173.8 KiB | 2024-04-02 07:53:30 |
snapper-lang-0.10.7-r1.apk | 173.8 KiB | 2024-04-22 18:59:12 |
warpinator-lang-1.8.3-r0.apk | 174.1 KiB | 2024-02-10 08:41:14 |
seastar-testing-22.11.0_git20240315-r2.apk | 174.3 KiB | 2024-04-02 07:53:30 |
perl-extutils-makemaker-7.70-r2.apk | 174.5 KiB | 2024-02-21 07:50:56 |
lomiri-filemanager-app-lang-1.0.4-r0.apk | 174.5 KiB | 2024-03-15 17:51:12 |
qqc2-suru-style-0.20230206-r0.apk | 174.8 KiB | 2023-12-17 21:24:38 |
srain-1.7.0-r0.apk | 175.0 KiB | 2024-05-01 06:29:31 |
py3-pdal-3.2.3-r4.apk | 176.4 KiB | 2024-04-15 21:51:59 |
ocaml-psq-dev-0.2.0-r2.apk | 177.0 KiB | 2024-03-24 02:12:35 |
ocaml-libvirt-0.6.1.7-r0.apk | 177.2 KiB | 2024-03-24 02:12:17 |
g4music-3.5.2-r0.apk | 177.4 KiB | 2024-04-25 14:35:57 |
perl-git-raw-0.90-r0.apk | 177.9 KiB | 2024-02-05 11:43:25 |
click-pyc-0.5.2-r1.apk | 177.9 KiB | 2024-04-15 21:50:55 |
rlottie-0.2_git20230831-r0.apk | 178.1 KiB | 2023-12-18 14:56:31 |
libm4ri-static-20200125-r4.apk | 178.2 KiB | 2023-08-01 12:38:02 |
burp-3.1.4-r0.apk | 178.8 KiB | 2023-03-17 19:03:00 |
py3-litex-hub-pythondata-cpu-mor1kx-2023.12-r4.apk | 178.9 KiB | 2024-04-15 21:51:49 |
bordeaux-0.8.1-r0.apk | 178.9 KiB | 2024-02-28 00:46:15 |
py3-apicula-pyc-0.11.1-r1.apk | 179.2 KiB | 2024-04-15 21:51:28 |
py3-owslib-0.30.0-r0.apk | 179.5 KiB | 2024-04-28 22:40:39 |
ustr-static-1.0.4-r1.apk | 179.7 KiB | 2023-05-15 20:52:01 |
ocaml-angstrom-0.16.0-r0.apk | 179.8 KiB | 2024-03-24 02:11:50 |
py3-qpageview-pyc-0.6.2-r1.apk | 180.0 KiB | 2024-04-15 21:52:01 |
ocaml-base64-dev-3.5.0-r2.apk | 180.6 KiB | 2024-03-24 02:11:52 |
pympress-1.8.5-r1.apk | 180.9 KiB | 2024-04-15 21:52:05 |
perl-ffi-platypus-2.08-r0.apk | 181.2 KiB | 2024-02-03 09:53:58 |
wk-adblock-0.0.4-r5.apk | 181.2 KiB | 2023-05-24 07:48:30 |
pympress-pyc-1.8.5-r1.apk | 181.9 KiB | 2024-04-15 21:52:05 |
ocaml-uucd-dev-14.0.0-r2.apk | 182.0 KiB | 2024-03-24 02:12:52 |
glfw-wayland-dbg-3.3.8-r3.apk | 182.2 KiB | 2023-07-04 00:03:18 |
lomiri-libusermetrics-1.3.2-r0.apk | 182.3 KiB | 2024-02-06 23:41:36 |
pcsc-tools-1.7.1-r0.apk | 182.5 KiB | 2023-12-31 20:26:22 |
libsimpleble-0.6.1-r1.apk | 182.6 KiB | 2023-07-29 20:02:51 |
guetzli-0_git20191025-r1.apk | 183.1 KiB | 2022-11-02 08:47:17 |
sedutil-1.15.1-r1.apk | 183.7 KiB | 2022-11-02 08:49:09 |
lcalc-2.0.5-r1.apk | 184.6 KiB | 2023-03-15 12:17:14 |
whipper-pyc-0.10.0-r5.apk | 184.8 KiB | 2024-04-15 21:52:08 |
py3-xsdata-24.5-r0.apk | 184.8 KiB | 2024-05-10 07:27:06 |
php81-pecl-timezonedb-2024.1-r0.apk | 185.2 KiB | 2024-04-10 23:39:35 |
guake-pyc-3.10-r1.apk | 185.7 KiB | 2024-04-15 21:50:57 |
spread-sheet-widget-dbg-0.8-r0.apk | 185.8 KiB | 2021-11-13 22:27:10 |
py3-libguestfs-1.52.0-r1.apk | 185.8 KiB | 2024-04-15 21:51:38 |
android-file-transfer-4.3-r0.apk | 186.0 KiB | 2023-12-28 23:12:53 |
php81-pecl-swoole-dev-5.1.1-r0.apk | 186.4 KiB | 2024-04-10 23:39:35 |
aravis-libs-0.8.31-r0.apk | 186.8 KiB | 2024-03-16 13:29:14 |
ocaml-mew_vi-0.5.0-r3.apk | 186.9 KiB | 2024-03-24 02:12:20 |
py3-ly-0.9.8-r1.apk | 187.0 KiB | 2024-04-15 21:51:54 |
mongo-cxx-driver-3.8.0-r0.apk | 187.1 KiB | 2023-08-19 06:40:42 |
guake-lang-3.10-r1.apk | 187.5 KiB | 2024-04-15 21:50:57 |
openjdk22-doc-22.0.1_p8-r2.apk | 188.0 KiB | 2024-04-26 00:20:17 |
xandikos-pyc-0.2.11-r1.apk | 188.1 KiB | 2024-04-15 21:52:08 |
gearmand-doc-1.1.21-r1.apk | 189.5 KiB | 2024-04-22 18:58:14 |
nitro-dev-2.7_beta8-r2.apk | 189.7 KiB | 2023-10-19 16:18:22 |
getdns-libs-1.7.3-r0.apk | 189.9 KiB | 2023-02-22 16:35:42 |
opendht-3.1.7-r2.apk | 190.5 KiB | 2024-04-15 21:51:23 |
py3-avro-pyc-1.11.3-r1.apk | 191.1 KiB | 2024-04-15 21:51:29 |
ocaml-lambdasoup-0.7.3-r2.apk | 191.7 KiB | 2024-03-24 02:12:17 |
ocaml-calendar-dev-2.04-r4.apk | 192.4 KiB | 2024-03-24 02:11:55 |
anari-sdk-static-0.7.2-r0.apk | 193.1 KiB | 2023-11-04 01:43:24 |
apache-mod-auth-openidc-2.4.15.7-r1.apk | 193.2 KiB | 2024-05-07 03:39:29 |
dnssec-tools-dev-2.2.3-r10.apk | 193.5 KiB | 2023-07-08 01:17:58 |
gearmand-1.1.21-r1.apk | 193.5 KiB | 2024-04-22 18:58:14 |
py3-m2crypto-0.41.0-r1.apk | 193.5 KiB | 2024-04-15 21:51:54 |
nvim-treesitter-0.0.0_git20221013-r0.apk | 193.7 KiB | 2022-10-13 20:59:39 |
ace-of-penguins-1.4-r2.apk | 193.7 KiB | 2022-03-01 10:21:53 |
lumina-desktop-textedit-1.6.2-r0.apk | 194.0 KiB | 2022-07-05 19:11:23 |
nlopt-2.7.1-r0.apk | 194.3 KiB | 2022-05-06 09:53:00 |
fcitx5-qt-qt5-5.1.6-r0.apk | 194.7 KiB | 2024-05-10 03:39:43 |
gingerbase-2.3.0-r7.apk | 195.0 KiB | 2024-04-15 21:50:57 |
ocaml-charinfo_width-dev-1.1.0-r3.apk | 195.9 KiB | 2024-03-24 02:11:57 |
ocaml-astring-dev-0.8.5-r2.apk | 195.9 KiB | 2024-03-24 02:11:50 |
ocaml-fmt-0.9.0-r2.apk | 196.7 KiB | 2024-03-24 02:12:08 |
linux-apfs-rw-src-0.3.8-r0.apk | 196.8 KiB | 2024-03-14 22:55:13 |
libthai-0.1.29-r0.apk | 197.4 KiB | 2022-02-05 16:42:26 |
poke-doc-4.0-r0.apk | 197.9 KiB | 2024-04-25 21:06:45 |
marknote-1.1.1-r0.apk | 198.1 KiB | 2024-04-04 08:00:42 |
qt6ct-0.9-r0.apk | 198.2 KiB | 2023-10-23 02:07:48 |
mrsh-dbg-0_git20210518-r1.apk | 198.4 KiB | 2022-11-02 08:48:37 |
font-tinos-0_git20210228-r0.apk | 198.4 KiB | 2024-02-23 02:13:22 |
mjpg-streamer-0_git20210220-r1.apk | 198.8 KiB | 2022-11-02 08:48:36 |
libretro-mu-0_git20220317-r0.apk | 198.8 KiB | 2022-04-21 10:27:42 |
libvmaf-dev-3.0.0-r0.apk | 199.1 KiB | 2024-02-05 20:24:04 |
moosefs-3.0.117-r1.apk | 199.5 KiB | 2023-06-17 21:33:41 |
endeavour-43.0-r1.apk | 199.6 KiB | 2024-03-23 19:39:57 |
lumina-desktop-mediaplayer-1.6.2-r0.apk | 199.7 KiB | 2022-07-05 19:11:22 |
libssl1.1-1.1.1w-r0.apk | 200.2 KiB | 2023-09-12 11:29:35 |
ocaml-mirage-flow-dev-3.0.0-r3.apk | 200.4 KiB | 2024-03-24 02:12:20 |
sane-airscan-0.99.27-r1.apk | 200.8 KiB | 2023-04-29 21:47:35 |
php81-pecl-redis-6.0.2-r0.apk | 200.9 KiB | 2024-04-10 23:39:35 |
agg-dev-2.5-r3.apk | 201.0 KiB | 2023-05-15 20:51:30 |
libretro-opera-0_git20211214-r0.apk | 201.3 KiB | 2022-04-21 10:27:42 |
remake-doc-1.5-r1.apk | 201.4 KiB | 2022-11-02 08:49:05 |
libettercap-0.8.3.1-r2.apk | 201.5 KiB | 2022-10-21 21:53:33 |
perl-module-generic-doc-0.37.1-r0.apk | 201.8 KiB | 2024-05-05 15:53:41 |
b4-pyc-0.13.0-r0.apk | 202.0 KiB | 2024-02-17 21:16:38 |
perl-sys-virt-10.2.0-r0.apk | 202.1 KiB | 2024-04-09 12:18:01 |
warpinator-1.8.3-r0.apk | 202.2 KiB | 2024-02-10 08:41:14 |
olsrd-plugins-0.9.8-r2.apk | 202.6 KiB | 2022-11-02 08:48:42 |
csfml-doc-2.5.2-r0.apk | 203.7 KiB | 2023-07-01 06:44:40 |
liblinbox-1.7.0-r3.apk | 204.0 KiB | 2023-08-01 12:38:02 |
soapy-sdr-remote-0.5.2-r1.apk | 204.3 KiB | 2023-07-29 20:02:55 |
endeavour-lang-43.0-r1.apk | 204.4 KiB | 2024-03-23 19:39:57 |
py3-dateparser-1.2.0-r1.apk | 204.4 KiB | 2024-04-15 21:51:31 |
font-fontawesome-4-4.7.0-r3.apk | 205.0 KiB | 2022-10-11 02:14:12 |
lcov-2.0-r2.apk | 205.0 KiB | 2024-01-15 09:42:32 |
plplot-libs-5.15.0-r2.apk | 205.1 KiB | 2022-11-02 08:48:53 |
aufs-util-20161219-r2.apk | 205.1 KiB | 2023-12-07 15:23:54 |
hamster-time-tracker-lang-3.0.3-r2.apk | 205.4 KiB | 2024-04-15 21:50:57 |
level-zero-1.16.15-r0.apk | 205.5 KiB | 2024-04-27 21:48:17 |
avdl-0.4.3-r1.apk | 205.9 KiB | 2022-08-20 13:32:46 |
ginger-pyc-2.4.0-r7.apk | 206.6 KiB | 2024-04-15 21:50:57 |
mint-y-theme-xfwm4-2.1.1-r0.apk | 206.8 KiB | 2023-06-12 15:01:22 |
py3-litex-hub-pythondata-cpu-marocchino-2023.12-r4.apk | 207.6 KiB | 2024-04-15 21:51:44 |
ovos-core-pyc-0.0.8_alpha24-r1.apk | 208.1 KiB | 2024-04-15 21:51:24 |
py3-netifaces2-0.0.22-r0.apk | 208.5 KiB | 2024-04-16 00:40:05 |
py3-ovos-utils-pyc-0.0.36-r1.apk | 209.8 KiB | 2024-04-15 21:51:59 |
ocaml-magic-mime-1.3.1-r0.apk | 209.9 KiB | 2024-04-22 06:21:39 |
pdm-2.12.4-r1.apk | 210.0 KiB | 2024-04-15 21:51:24 |
ocaml-ssl-dev-0.7.0-r0.apk | 210.3 KiB | 2024-04-22 06:21:41 |
py3-flask-security-pyc-5.4.3-r1.apk | 210.5 KiB | 2024-04-15 21:51:35 |
lcalc-libs-2.0.5-r1.apk | 210.6 KiB | 2023-03-15 12:17:14 |
ocaml-react-dev-1.2.2-r2.apk | 210.7 KiB | 2024-03-24 02:12:37 |
horizon-0.9.6-r9.apk | 211.2 KiB | 2024-04-22 18:58:14 |
hfst-dev-3.16.0-r2.apk | 212.3 KiB | 2024-04-15 21:50:57 |
chicago95-fonts-3.0.1-r0.apk | 214.6 KiB | 2024-01-19 03:34:48 |
sfwbar-1.0_beta14-r0.apk | 215.7 KiB | 2024-01-04 01:11:48 |
cddlib-0.94m-r2.apk | 216.4 KiB | 2023-08-01 12:37:56 |
wget2-libs-2.1.0-r0.apk | 216.7 KiB | 2023-09-04 09:48:38 |
tree-sitter-elixir-0.2.0-r0.apk | 216.8 KiB | 2024-04-28 09:38:52 |
diceware-0.10-r1.apk | 217.4 KiB | 2024-04-15 21:50:55 |
libretro-gw-0_git20220410-r0.apk | 217.9 KiB | 2022-04-21 10:27:38 |
catfish-4.18.0-r2.apk | 218.4 KiB | 2024-04-15 21:50:55 |
py3-slidge-style-parser-0.1.6-r1.apk | 218.4 KiB | 2024-04-15 21:52:02 |
opensm-dev-3.3.24-r2.apk | 218.5 KiB | 2023-05-15 20:51:53 |
gmic-doc-3.3.5-r0.apk | 218.6 KiB | 2024-04-26 13:48:31 |
shadowsocks-libev-3.3.5-r4.apk | 218.8 KiB | 2024-04-13 17:05:21 |
py3-spnego-pyc-0.10.2-r1.apk | 218.8 KiB | 2024-04-15 21:52:03 |
java-jtharness-examples-6.0_p12-r0.apk | 218.9 KiB | 2022-10-05 19:12:20 |
cz-viator-hourglass-black-20210706-r0.apk | 219.0 KiB | 2022-02-07 12:36:47 |
mir-test-tools-2.15.0-r1.apk | 219.1 KiB | 2024-04-22 18:58:34 |
py3-imdbpy-2021.4.18-r4.apk | 219.3 KiB | 2024-04-15 21:51:36 |
nuklear-4.12.0-r0.apk | 219.6 KiB | 2024-02-18 01:31:55 |
ocaml-xml-light-2.5-r0.apk | 219.7 KiB | 2024-03-24 02:12:52 |
mspdebug-0.25-r1.apk | 219.8 KiB | 2022-11-02 08:48:37 |
syncthing-gtk-pyc-0.9.4.5-r1.apk | 220.4 KiB | 2024-04-15 21:52:07 |
hdf4-tools-4.2.15-r1.apk | 220.6 KiB | 2023-05-15 20:51:45 |
py3-duniterpy-1.1.1-r3.apk | 221.1 KiB | 2024-04-15 21:51:33 |
openttd-doc-13.4-r1.apk | 221.4 KiB | 2023-11-09 08:43:17 |
py3-cmd2-pyc-2.4.3-r2.apk | 222.4 KiB | 2024-04-15 21:51:30 |
asteroid-icons-ion-2.0.0-r0.apk | 222.9 KiB | 2023-09-01 06:32:06 |
lomiri-libusermetrics-doc-1.3.2-r0.apk | 223.5 KiB | 2024-02-06 23:41:36 |
ocaml-camlzip-dev-1.11-r2.apk | 224.0 KiB | 2024-03-24 02:11:55 |
lomiri-settings-components-1.1.1-r0.apk | 224.3 KiB | 2024-02-06 23:41:36 |
py3-proplot-pyc-0.9.7-r2.apk | 224.6 KiB | 2024-04-15 21:52:00 |
ocaml-calendar-2.04-r4.apk | 224.9 KiB | 2024-03-24 02:11:55 |
lomiri-clock-app-4.0.3-r0.apk | 225.6 KiB | 2023-12-17 21:24:38 |
muon-0.2.0-r2.apk | 225.9 KiB | 2024-03-28 20:31:00 |
xboard-lang-4.9.1-r2.apk | 225.9 KiB | 2023-08-01 12:38:08 |
belcard-libs-5.3.38-r0.apk | 226.0 KiB | 2024-04-13 17:05:19 |
hunspell-es-ar-2.7-r0.apk | 226.2 KiB | 2023-06-05 14:06:20 |
parcellite-1.2.4.0-r0.apk | 226.7 KiB | 2024-02-24 13:44:39 |
py3-github3-pyc-4.0.1-r1.apk | 226.7 KiB | 2024-04-15 21:51:35 |
liblinbox-static-1.7.0-r3.apk | 226.7 KiB | 2023-08-01 12:38:02 |
hiawatha-11.5-r0.apk | 227.2 KiB | 2024-04-13 17:05:20 |
py3-litex-hub-pythondata-cpu-picorv32-2023.12-r4.apk | 227.7 KiB | 2024-04-15 21:51:49 |
ocaml-omod-dev-0.0.3-r3.apk | 228.1 KiB | 2024-03-24 02:12:24 |
lomiri-thumbnailer-3.0.3-r1.apk | 228.6 KiB | 2024-04-22 18:58:32 |
boinc-libs-7.24.3-r0.apk | 229.5 KiB | 2024-02-22 01:03:47 |
getting-things-gnome-lang-0.6-r3.apk | 230.1 KiB | 2024-04-15 21:50:56 |
kgraphviewer-lang-2.5.0-r0.apk | 230.2 KiB | 2024-05-09 03:06:11 |
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk | 230.6 KiB | 2023-12-04 08:25:25 |
ocaml-sha-dev-1.15.4-r0.apk | 230.7 KiB | 2024-03-24 02:12:50 |
py3-asysocks-pyc-0.2.12-r1.apk | 230.7 KiB | 2024-04-15 21:51:29 |
py3-invoke-pyc-2.2.0-r2.apk | 231.1 KiB | 2024-04-15 21:51:36 |
purple-hangouts-0_git20200422-r0.apk | 231.3 KiB | 2020-07-08 13:48:54 |
php81-pecl-xlswriter-1.5.5-r0.apk | 231.3 KiB | 2024-04-10 23:39:35 |
tpm2-tools-5.6-r0.apk | 231.6 KiB | 2024-02-01 01:07:42 |
straw-viewer-0.1.3-r2.apk | 231.9 KiB | 2023-07-04 00:01:49 |
pdal-python-plugins-1.2.1-r3.apk | 231.9 KiB | 2024-04-15 21:51:24 |
qt5ct-1.7-r0.apk | 233.0 KiB | 2023-04-18 11:42:39 |
gmid-2.0.2-r0.apk | 233.2 KiB | 2024-04-05 23:22:48 |
py3-pelican-4.9.1-r2.apk | 233.5 KiB | 2024-04-15 21:51:59 |
grommunio-admin-api-1.14-r0.apk | 233.8 KiB | 2024-04-26 06:32:52 |
scap-workbench-1.2.1-r2.apk | 234.8 KiB | 2023-02-13 14:25:35 |
lomiri-weather-app-5.13.5-r0.apk | 235.0 KiB | 2024-03-15 17:51:14 |
py3-kikit-1.5.1-r0.apk | 235.6 KiB | 2024-04-16 16:22:57 |
py3-tpm2-pytss-pyc-2.2.1-r0.apk | 235.9 KiB | 2024-03-14 08:39:01 |
sigma-0.23.1-r1.apk | 236.5 KiB | 2024-04-15 21:52:07 |
stgit-pyc-1.3-r5.apk | 237.2 KiB | 2024-04-15 21:52:07 |
openssl1.1-compat-1.1.1w-r0.apk | 237.5 KiB | 2023-09-12 11:29:35 |
elementary-photos-publishing-2.8.0-r1.apk | 238.0 KiB | 2023-04-29 21:47:14 |
soqt-1.6.0-r0.apk | 238.2 KiB | 2021-11-23 23:57:25 |
gst-rtsp-server-1.24.3-r0.apk | 239.1 KiB | 2024-05-07 08:21:56 |
py3-scrapy-2.11.1-r1.apk | 239.7 KiB | 2024-04-15 21:52:01 |
libkkc-0.3.5-r3.apk | 241.2 KiB | 2024-04-28 06:12:22 |
py3-imdbpy-pyc-2021.4.18-r4.apk | 242.3 KiB | 2024-04-15 21:51:36 |
dum-0.1.19-r1.apk | 244.1 KiB | 2023-05-24 07:48:16 |
libgivaro-dev-4.2.0-r2.apk | 244.2 KiB | 2023-08-01 12:38:02 |
py3-kazoo-pyc-0_git20211202-r3.apk | 244.3 KiB | 2024-04-15 21:51:36 |
trantor-1.5.18-r0.apk | 244.6 KiB | 2024-05-05 05:25:03 |
mkdocs-cinder-1.2.0-r4.apk | 245.3 KiB | 2024-04-15 21:51:19 |
py3-pika-pyc-1.3.2-r1.apk | 245.6 KiB | 2024-04-15 21:52:00 |
gpa-0.10.0-r2.apk | 246.0 KiB | 2022-11-02 08:47:17 |
mkdocs-rtd-dropdown-1.0.2-r4.apk | 246.2 KiB | 2024-04-15 21:51:19 |
fcitx5-qt-qt6-5.1.6-r0.apk | 246.3 KiB | 2024-05-10 03:39:43 |
tup-0.7.11-r0.apk | 246.7 KiB | 2023-03-06 13:17:38 |
libecm-7.0.5-r1.apk | 247.5 KiB | 2023-05-15 20:51:50 |
visidata-2.11.1-r2.apk | 248.1 KiB | 2024-04-15 21:52:08 |
font-comic-neue-2.51-r0.apk | 248.8 KiB | 2021-02-18 17:37:39 |
linphone-dev-5.3.38-r0.apk | 249.5 KiB | 2024-04-13 17:05:20 |
catfish-lang-4.18.0-r2.apk | 249.7 KiB | 2024-04-15 21:50:55 |
xfe-xfp-1.46.1-r0.apk | 250.0 KiB | 2024-03-05 00:39:42 |
font-commit-mono-1.143-r0.apk | 251.0 KiB | 2023-12-31 15:45:39 |
perl-module-generic-0.37.1-r0.apk | 252.1 KiB | 2024-05-05 15:53:41 |
speedtest-5.2.5-r1.apk | 253.2 KiB | 2023-09-04 12:02:35 |
ocaml-metrics-0.4.0-r3.apk | 253.2 KiB | 2024-03-24 02:12:19 |
avra-dev-1.4.2-r0.apk | 254.5 KiB | 2023-08-21 05:36:52 |
hdf4-4.2.15-r1.apk | 254.9 KiB | 2023-05-15 20:51:45 |
wlroots0.12-0.12.0-r1.apk | 256.5 KiB | 2022-09-02 18:55:20 |
ginger-2.4.0-r7.apk | 256.8 KiB | 2024-04-15 21:50:56 |
libxmp-4.6.0-r0.apk | 257.6 KiB | 2023-06-20 18:31:31 |
mkdocs-bootstrap4-0.1.5-r4.apk | 258.6 KiB | 2024-04-15 21:51:19 |
ocaml-num-1.4-r3.apk | 259.1 KiB | 2024-03-24 02:12:21 |
xdg-desktop-portal-hyprland-1.3.1-r2.apk | 259.3 KiB | 2024-03-15 06:19:32 |
vera++-1.3.0-r10.apk | 259.4 KiB | 2024-04-22 18:59:12 |
swayhide-0.2.1-r1.apk | 260.2 KiB | 2023-05-24 07:48:26 |
snapraid-12.3-r0.apk | 260.8 KiB | 2024-01-26 02:25:54 |
openssl1.1-compat-dev-1.1.1w-r0.apk | 261.2 KiB | 2023-09-12 11:29:35 |
ocaml-lwt_log-dev-1.1.1-r5.apk | 262.6 KiB | 2024-03-24 02:12:18 |
py3-minikerberos-pyc-0.4.4-r1.apk | 263.3 KiB | 2024-04-15 21:51:55 |
ocaml-cmdliner-dev-1.1.1-r3.apk | 263.8 KiB | 2024-03-24 02:11:57 |
mailutils-3.17-r0.apk | 263.9 KiB | 2024-01-19 17:51:56 |
font-anonymous-pro-1.002-r2.apk | 264.5 KiB | 2022-10-11 02:14:12 |
clapper-0.6.0-r0.apk | 265.2 KiB | 2024-04-26 21:56:47 |
dcmtk-doc-3.6.8-r0.apk | 265.6 KiB | 2024-01-13 23:09:39 |
ocaml-mqtt-dev-0.2.2-r0.apk | 265.6 KiB | 2024-04-22 06:21:39 |
lomiri-ui-extras-0.6.3-r0.apk | 266.6 KiB | 2024-02-06 23:41:36 |
ocaml-ocplib-endian-dev-1.2-r3.apk | 266.8 KiB | 2024-03-24 02:12:23 |
py3-flask-security-5.4.3-r1.apk | 266.8 KiB | 2024-04-15 21:51:35 |
py3-kikit-pyc-1.5.1-r0.apk | 268.0 KiB | 2024-04-16 16:22:57 |
timew-1.4.3-r1.apk | 268.0 KiB | 2022-11-02 08:49:24 |
py3-iso639-lang-2.2.3-r0.apk | 268.7 KiB | 2024-04-18 14:00:16 |
xtensor-0.24.7-r0.apk | 269.9 KiB | 2024-01-12 17:59:28 |
py3-pynest2d-5.2.2-r4.apk | 270.7 KiB | 2024-04-22 18:59:11 |
lynis-3.1.1-r0.apk | 271.0 KiB | 2024-03-18 01:01:31 |
apache-mod-auth-openidc-static-2.4.15.7-r1.apk | 271.3 KiB | 2024-05-07 03:39:29 |
drawing-lang-1.0.2-r0.apk | 271.7 KiB | 2023-03-06 13:04:35 |
gede-2.18.2-r1.apk | 272.1 KiB | 2023-12-19 15:01:39 |
py3-tpm2-pytss-2.2.1-r0.apk | 272.5 KiB | 2024-03-14 08:39:01 |
restinio-dev-0.6.17-r6.apk | 272.7 KiB | 2024-04-22 18:59:11 |
gforth-doc-0.7.3-r3.apk | 273.8 KiB | 2021-10-15 04:08:52 |
fig2dev-3.2.8b-r0.apk | 274.1 KiB | 2022-03-11 10:38:38 |
advancescan-1.18-r1.apk | 274.4 KiB | 2022-11-02 08:46:28 |
py3-anyascii-0.3.2-r1.apk | 274.5 KiB | 2024-04-15 21:51:26 |
py3-tlslite-ng-pyc-0.7.6-r7.apk | 274.5 KiB | 2024-04-15 21:52:04 |
ocaml-uunf-dev-14.0.0-r2.apk | 274.8 KiB | 2024-04-22 06:21:47 |
xfe-xfi-1.46.1-r0.apk | 274.9 KiB | 2024-03-05 00:39:42 |
ocaml-uucd-14.0.0-r2.apk | 275.7 KiB | 2024-03-24 02:12:52 |
gaupol-1.12-r2.apk | 275.9 KiB | 2024-04-15 21:50:56 |
objconv-2.52_git20210213-r2.apk | 276.1 KiB | 2022-11-02 08:48:42 |
gaupol-lang-1.12-r2.apk | 276.4 KiB | 2024-04-15 21:50:56 |
libretro-blastem-0_git20210810-r0.apk | 276.6 KiB | 2022-04-21 10:27:32 |
py3-cssutils-pyc-2.10.2-r0.apk | 277.0 KiB | 2024-04-26 13:59:03 |
timewarrior-1.7.1-r0.apk | 277.2 KiB | 2024-01-17 22:56:10 |
masky-0.2.0-r1.apk | 277.5 KiB | 2024-04-15 21:51:19 |
irccd-4.0.3-r0.apk | 279.8 KiB | 2023-07-29 20:02:48 |
mediascanner2-0.115-r0.apk | 280.6 KiB | 2024-03-15 17:51:14 |
amule-doc-2.3.3-r13.apk | 281.2 KiB | 2024-04-22 18:58:06 |
font-intel-one-mono-1.3.0-r0.apk | 281.2 KiB | 2023-09-17 16:05:22 |
ocaml-integers-dev-0.7.0-r2.apk | 281.9 KiB | 2024-03-24 02:12:10 |
toybox-0.8.11-r0.apk | 282.0 KiB | 2024-04-29 21:08:08 |
uasm-2.56.2-r0.apk | 282.0 KiB | 2023-10-23 02:07:48 |
vectoroids-1.1.0-r1.apk | 282.5 KiB | 2022-01-05 22:07:28 |
slidge-pyc-0.1.0-r1.apk | 282.6 KiB | 2024-04-15 21:52:07 |
emacs-ement-0.14_git20240320-r0.apk | 284.2 KiB | 2024-04-02 07:53:25 |
moosefs-client-3.0.117-r1.apk | 284.6 KiB | 2023-06-17 21:33:41 |
lomiri-content-hub-1.1.1-r0.apk | 285.0 KiB | 2024-02-10 13:52:53 |
libretro-cannonball-0_git20220309-r6.apk | 285.1 KiB | 2024-04-22 18:58:29 |
devil-1.8.0-r0.apk | 285.6 KiB | 2023-09-12 10:21:59 |
ocaml-otr-0.3.10-r2.apk | 286.6 KiB | 2024-03-24 02:12:24 |
guestfs-tools-1.52.0-r1.apk | 286.8 KiB | 2024-04-15 21:50:57 |
phoronix-test-suite-doc-10.8.4-r2.apk | 287.2 KiB | 2023-10-15 13:20:30 |
powerline-extra-symbols-0_git20191017-r0.apk | 287.4 KiB | 2021-03-21 06:00:32 |
lomiri-weather-app-lang-5.13.5-r0.apk | 287.4 KiB | 2024-03-15 17:51:14 |
ocaml-react-1.2.2-r2.apk | 287.6 KiB | 2024-03-24 02:12:37 |
mergerfs-2.38.1-r0.apk | 287.8 KiB | 2024-01-22 13:53:06 |
py3-cassandra-driver-3.29.1-r0.apk | 288.5 KiB | 2024-04-15 21:51:30 |
stardict-lang-3.0.6-r6.apk | 289.2 KiB | 2023-04-29 21:47:35 |
py3-python-stdnum-pyc-1.19-r1.apk | 290.3 KiB | 2024-04-15 21:52:01 |
moosefs-master-3.0.117-r1.apk | 290.5 KiB | 2023-06-17 21:33:41 |
ocaml-astring-0.8.5-r2.apk | 291.2 KiB | 2024-03-24 02:11:50 |
welle-cli-2.4-r5.apk | 291.7 KiB | 2024-04-24 20:50:28 |
py3-optuna-3.6.1-r1.apk | 291.7 KiB | 2024-04-15 21:51:58 |
xfe-xfw-1.46.1-r0.apk | 292.4 KiB | 2024-03-05 00:39:42 |
opmsg-1.84-r1.apk | 294.0 KiB | 2022-08-04 06:44:43 |
ngs-0.2.14-r0.apk | 294.9 KiB | 2022-10-08 22:05:12 |
ocaml-conduit-6.1.0-r0.apk | 294.9 KiB | 2024-04-22 06:21:32 |
libusbguard-1.1.2-r8.apk | 295.0 KiB | 2024-01-03 19:29:59 |
lsmash-2.14.5-r2.apk | 295.4 KiB | 2022-11-02 08:48:21 |
py3-migen-pyc-0.9.2-r2.apk | 295.4 KiB | 2024-04-15 21:51:54 |
libqofono-qt5-0.122-r0.apk | 296.2 KiB | 2023-12-24 15:57:29 |
esptool-4.7.0-r1.apk | 296.3 KiB | 2024-04-15 21:50:55 |
percona-toolkit-doc-3.5.4-r0.apk | 297.2 KiB | 2023-08-13 16:27:51 |
anari-sdk-0.7.2-r0.apk | 297.3 KiB | 2023-11-04 01:43:24 |
libretro-crocods-0_git20210314-r1.apk | 297.4 KiB | 2021-06-03 14:20:22 |
wlroots0.15-0.15.1-r6.apk | 297.8 KiB | 2023-07-03 16:21:47 |
postgresql-pgmq-1.1.1-r0.apk | 299.8 KiB | 2024-01-28 00:07:43 |
libfyaml-0.9-r0.apk | 300.2 KiB | 2023-12-21 22:37:05 |
xvkbd-4.1-r2.apk | 300.5 KiB | 2022-11-02 08:49:40 |
ocaml-mew_vi-dev-0.5.0-r3.apk | 301.6 KiB | 2024-03-24 02:12:20 |
py3-plexapi-pyc-4.15.12-r0.apk | 302.0 KiB | 2024-05-09 03:06:13 |
apache2-mod-perl-doc-2.0.13-r0.apk | 302.3 KiB | 2023-10-22 13:00:41 |
libguestfs-1.52.0-r1.apk | 302.8 KiB | 2024-04-15 21:51:06 |
libretro-atari800-0_git20220327-r0.apk | 304.1 KiB | 2022-04-21 10:27:30 |
guake-3.10-r1.apk | 305.1 KiB | 2024-04-15 21:50:57 |
rizin-dev-0.6.3-r0.apk | 305.9 KiB | 2023-10-18 15:52:48 |
commoncpp-7.0.1-r1.apk | 306.0 KiB | 2022-08-04 06:40:51 |
cddlib-static-0.94m-r2.apk | 306.5 KiB | 2023-08-01 12:37:56 |
libspatialindex-0_git20210205-r1.apk | 306.7 KiB | 2023-11-02 20:32:30 |
libm4rie-20200125-r3.apk | 307.2 KiB | 2023-08-01 12:38:02 |
cluster-glue-1.0.12-r5.apk | 308.0 KiB | 2023-04-29 21:47:14 |
minisatip-1.3.4-r0.apk | 308.2 KiB | 2024-03-15 06:19:29 |
ocaml-pcre-dev-7.5.0-r4.apk | 309.1 KiB | 2024-03-24 02:12:25 |
lipstick-asteroidos-2.0.0-r1.apk | 309.5 KiB | 2023-10-08 10:48:29 |
csmith-2.3.0-r1.apk | 309.7 KiB | 2022-11-02 08:46:52 |
tmate-2.4.0-r4.apk | 309.7 KiB | 2023-03-05 02:20:55 |
level-zero-dev-1.16.15-r0.apk | 310.2 KiB | 2024-04-27 21:48:17 |
plplot-doc-5.15.0-r2.apk | 310.3 KiB | 2022-11-02 08:48:53 |
flint-dev-2.9.0-r1.apk | 311.1 KiB | 2023-01-06 21:33:30 |
py3-msldap-pyc-0.5.10-r1.apk | 311.9 KiB | 2024-04-15 21:51:55 |
xgalaga-2.1.1.0-r1.apk | 311.9 KiB | 2022-11-02 08:49:40 |
pypykatz-0.6.9-r1.apk | 313.6 KiB | 2024-04-15 21:52:05 |
noson-2.10.3-r0.apk | 313.8 KiB | 2023-06-10 15:23:41 |
libretro-cap32-0_git20220419-r0.apk | 314.2 KiB | 2022-04-21 10:27:32 |
trigger-rally-0.6.7-r2.apk | 314.7 KiB | 2024-01-02 08:54:25 |
dnssec-tools-doc-2.2.3-r10.apk | 315.6 KiB | 2023-07-08 01:17:58 |
font-fantasque-sans-noloopk-1.8.0-r0.apk | 315.8 KiB | 2019-11-22 15:18:45 |
font-fantasque-sans-normal-1.8.0-r0.apk | 315.8 KiB | 2019-11-22 15:18:45 |
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk | 315.8 KiB | 2019-11-22 15:18:45 |
font-fantasque-sans-largelineheight-1.8.0-r0.apk | 315.8 KiB | 2019-11-22 15:18:45 |
perl-libintl-perl-1.33-r1.apk | 316.2 KiB | 2023-07-04 00:01:46 |
chiaki-2.2.0-r0.apk | 316.7 KiB | 2024-01-26 14:22:12 |
py3-apsw-pyc-3.45.2.0-r1.apk | 319.0 KiB | 2024-04-15 21:51:28 |
coventry-0.8.1-r0.apk | 319.1 KiB | 2024-02-24 15:18:14 |
ocaml-fileutils-0.6.4-r2.apk | 319.4 KiB | 2024-03-24 02:12:08 |
ocaml-asn1-combinators-0.2.6-r2.apk | 319.5 KiB | 2024-03-24 02:11:50 |
gstreamermm-dev-1.10.0-r4.apk | 320.0 KiB | 2022-11-02 08:47:17 |
libtins-4.5-r1.apk | 320.6 KiB | 2024-04-22 18:58:30 |
monetdb-doc-11.33.11-r4.apk | 320.7 KiB | 2023-04-29 21:47:29 |
ocaml-notty-0.2.3-r0.apk | 321.1 KiB | 2024-03-24 02:12:21 |
barman-3.10.0-r1.apk | 321.1 KiB | 2024-04-15 21:50:53 |
py3-netmiko-pyc-4.3.0-r1.apk | 321.3 KiB | 2024-04-15 21:51:55 |
ocaml-curses-dev-1.0.10-r2.apk | 321.9 KiB | 2024-03-24 02:12:02 |
grommunio-sync-2.0_git20240226-r0.apk | 322.7 KiB | 2024-04-26 06:32:58 |
hex-0.6.0-r0.apk | 323.9 KiB | 2024-01-08 09:44:32 |
psftools-1.1.1-r0.apk | 324.8 KiB | 2022-01-11 20:17:40 |
biometryd-0.3.1-r0.apk | 325.5 KiB | 2024-02-06 23:41:36 |
waylevel-1.0.0-r1.apk | 325.6 KiB | 2023-05-24 07:48:30 |
ocaml-gen-1.1-r1.apk | 326.6 KiB | 2024-03-24 02:12:08 |
ocaml-ipaddr-5.3.1-r2.apk | 327.2 KiB | 2024-03-24 02:12:10 |
vivid-0.9.0-r1.apk | 327.6 KiB | 2023-05-24 07:48:30 |
ocaml-ocf-dev-0.8.0-r3.apk | 329.8 KiB | 2024-03-24 02:12:22 |
litehtml-0.8-r2.apk | 329.9 KiB | 2023-08-01 12:38:04 |
diskus-0.7.0-r1.apk | 331.2 KiB | 2023-05-24 07:48:16 |
rclone-browser-1.8.0-r1.apk | 331.2 KiB | 2022-11-02 08:49:04 |
openwsman-libs-2.7.2-r4.apk | 332.8 KiB | 2024-04-15 21:51:24 |
projectm-sdl-3.1.12-r2.apk | 333.6 KiB | 2024-05-09 03:06:13 |
py3-eventlet-0.36.1-r0.apk | 333.9 KiB | 2024-04-14 20:11:38 |
libvmaf-3.0.0-r0.apk | 334.0 KiB | 2024-02-05 20:24:04 |
libm4rie-static-20200125-r3.apk | 334.4 KiB | 2023-08-01 12:38:02 |
py3-apsw-3.45.2.0-r1.apk | 334.6 KiB | 2024-04-15 21:51:28 |
opentelemetry-cpp-dev-1.11.0-r2.apk | 334.7 KiB | 2023-11-15 14:38:33 |
perl-snmp-info-3.970001-r0.apk | 335.1 KiB | 2024-04-03 01:00:25 |
ocaml-omod-0.0.3-r3.apk | 335.7 KiB | 2024-03-24 02:12:23 |
py3-eventlet-pyc-0.36.1-r0.apk | 335.7 KiB | 2024-04-14 20:11:38 |
tree-sitter-kotlin-0.3.6-r0.apk | 335.9 KiB | 2024-05-10 00:35:17 |
libsigrokdecode-0.5.3-r4.apk | 336.5 KiB | 2024-04-15 13:55:13 |
libsemigroups-dev-2.7.3-r0.apk | 338.0 KiB | 2024-01-22 06:23:10 |
tree-sitter-c-sharp-0.21.2-r0.apk | 339.3 KiB | 2024-05-10 00:36:32 |
coxeter-libs-3.0-r1.apk | 339.5 KiB | 2023-08-01 12:37:56 |
sigma-pyc-0.23.1-r1.apk | 339.8 KiB | 2024-04-15 21:52:07 |
virtualgl-doc-3.1-r0.apk | 340.6 KiB | 2023-06-20 21:32:50 |
py3-dateparser-pyc-1.2.0-r1.apk | 340.7 KiB | 2024-04-15 21:51:31 |
helvum-0.5.1-r0.apk | 340.9 KiB | 2023-09-30 15:59:51 |
lomiri-filemanager-app-1.0.4-r0.apk | 341.8 KiB | 2024-03-15 17:51:11 |
ocaml-magic-mime-dev-1.3.1-r0.apk | 343.3 KiB | 2024-04-22 06:21:39 |
php81-pear-8.1.28-r0.apk | 343.4 KiB | 2024-04-10 23:39:35 |
cutechess-cli-1.3.1-r0.apk | 344.2 KiB | 2023-09-24 18:37:36 |
ocaml-sexplib0-dev-0.16.0-r0.apk | 344.4 KiB | 2024-03-24 02:12:50 |
fflas-ffpack-2.5.0-r3.apk | 345.1 KiB | 2023-08-01 12:37:59 |
perl-dbix-class-doc-0.082843-r1.apk | 345.1 KiB | 2023-07-04 00:01:45 |
bobcat-doc-4.09.00-r0.apk | 345.2 KiB | 2023-10-06 07:04:40 |
habitctl-0.1.0-r2.apk | 346.7 KiB | 2023-05-24 07:48:17 |
pw-volume-0.5.0-r1.apk | 347.0 KiB | 2023-05-24 07:48:22 |
foma-0.10.0_git20221230-r0.apk | 347.0 KiB | 2023-06-13 17:29:05 |
perl-html-object-0.5.0-r0.apk | 347.5 KiB | 2024-05-05 05:25:00 |
py3-litex-hub-pythondata-cpu-vexriscv-2023.12-r4.apk | 348.2 KiB | 2024-04-15 21:51:52 |
pympress-doc-1.8.5-r1.apk | 348.2 KiB | 2024-04-15 21:52:05 |
ocaml-utop-2.9.1-r4.apk | 349.0 KiB | 2024-04-04 10:21:20 |
modem-manager-gui-0.0.20-r0.apk | 350.1 KiB | 2021-10-29 13:58:27 |
ocaml-qtest-2.11.2-r3.apk | 350.9 KiB | 2024-03-24 02:12:36 |
ocaml-angstrom-dev-0.16.0-r0.apk | 352.4 KiB | 2024-03-24 02:11:50 |
xml2rfc-3.21.0-r0.apk | 352.7 KiB | 2024-04-17 13:39:32 |
coin-dev-4.0.0-r6.apk | 353.3 KiB | 2024-04-22 18:58:07 |
libretro-nxengine-0_git20220301-r0.apk | 353.5 KiB | 2022-04-21 10:27:42 |
py3-django-suit-0.2.28-r7.apk | 353.9 KiB | 2024-04-15 21:51:31 |
spread-sheet-widget-dev-0.8-r0.apk | 354.6 KiB | 2021-11-13 22:27:10 |
py3-ly-pyc-0.9.8-r1.apk | 354.8 KiB | 2024-04-15 21:51:54 |
ocaml-lambdasoup-dev-0.7.3-r2.apk | 355.8 KiB | 2024-03-24 02:12:17 |
py3-pycaption-2.2.4-r0.apk | 356.5 KiB | 2024-03-25 14:37:23 |
py3-lingua-franca-0.4.8_alpha3-r1.apk | 357.6 KiB | 2024-04-15 21:51:38 |
hamster-time-tracker-pyc-3.0.3-r2.apk | 357.9 KiB | 2024-04-15 21:50:57 |
py3-flask-admin-pyc-1.6.1-r3.apk | 358.0 KiB | 2024-04-15 21:51:34 |
sndfile-tools-doc-1.5-r1.apk | 360.7 KiB | 2023-07-29 20:02:55 |
freediameter-extensions-1.5.0-r1.apk | 363.3 KiB | 2022-11-06 10:59:44 |
ovos-skill-manager-0.0.13-r1.apk | 363.7 KiB | 2024-04-15 21:51:24 |
libretro-beetle-pcfx-0_git20220409-r0.apk | 366.0 KiB | 2022-04-21 10:27:31 |
lgogdownloader-3.12-r2.apk | 366.1 KiB | 2024-04-22 18:58:15 |
lomiri-history-service-0.4-r1.apk | 366.8 KiB | 2024-04-25 23:31:31 |
py3-aioxmpp-0.13.3-r2.apk | 367.5 KiB | 2024-04-15 21:51:25 |
wcm-0.8.0-r0.apk | 367.7 KiB | 2023-11-25 23:42:14 |
ocaml-down-dev-0.1.0-r3.apk | 367.8 KiB | 2024-03-24 02:12:07 |
spin-6.5.2-r1.apk | 368.4 KiB | 2022-11-02 08:49:16 |
checkpolicy-3.6-r0.apk | 369.4 KiB | 2024-01-08 09:44:29 |
singular-dev-4.3.2-r2.apk | 369.7 KiB | 2023-08-01 12:38:06 |
torrent-file-editor-0.3.18-r0.apk | 369.7 KiB | 2023-06-27 21:52:43 |
jackdaw-pyc-0.3.1-r1.apk | 370.0 KiB | 2024-04-15 21:51:03 |
eclib-20231212-r1.apk | 370.4 KiB | 2024-04-22 18:58:09 |
py3-hfst-3.16.0-r2.apk | 370.8 KiB | 2024-04-15 21:51:35 |
planner-0.14.92-r0.apk | 372.4 KiB | 2024-01-08 09:44:32 |
lomiri-app-launch-0.1.9-r2.apk | 372.6 KiB | 2024-04-26 22:28:25 |
py3-igraph-pyc-0.11.4-r1.apk | 372.7 KiB | 2024-04-15 21:51:36 |
libntl-doc-11.5.1-r3.apk | 373.3 KiB | 2023-08-01 12:38:03 |
advancemame-doc-3.9-r4.apk | 373.3 KiB | 2023-03-15 12:17:10 |
perl-snmp-info-doc-3.970001-r0.apk | 374.0 KiB | 2024-04-03 01:00:25 |
ocaml-bos-dev-0.2.1-r2.apk | 374.0 KiB | 2024-03-24 02:11:54 |
lomiri-calculator-app-4.0.2-r0.apk | 374.5 KiB | 2024-03-15 17:51:11 |
3proxy-0.9.4-r0.apk | 374.7 KiB | 2023-09-18 05:37:19 |
qtile-0.23.0-r1.apk | 374.8 KiB | 2024-04-15 21:52:06 |
fileshelter-5.1.2-r4.apk | 375.3 KiB | 2024-04-22 18:58:10 |
ocaml-biniou-dev-1.2.1-r5.apk | 375.5 KiB | 2024-03-24 02:11:52 |
libuninameslist-20230916-r0.apk | 376.2 KiB | 2023-09-18 04:50:58 |
bartib-1.0.1-r1.apk | 377.0 KiB | 2023-05-24 07:48:15 |
kimchi-pyc-3.0.0-r7.apk | 377.7 KiB | 2024-04-15 21:51:05 |
ripdrag-0.4.8-r0.apk | 378.5 KiB | 2024-04-28 10:25:49 |
gloox-1.0.28-r0.apk | 378.9 KiB | 2023-11-24 00:25:24 |
freshrss-lang-1.23.1-r1.apk | 379.0 KiB | 2024-03-18 06:44:28 |
py3-slixmpp-1.8.5-r2.apk | 382.9 KiB | 2024-04-14 17:05:06 |
grip-4.2.4-r0.apk | 383.2 KiB | 2023-01-26 19:27:48 |
ocaml-parsexp-0.16.0-r0.apk | 383.7 KiB | 2024-03-24 02:12:24 |
php81-fileinfo-8.1.28-r0.apk | 384.1 KiB | 2024-04-10 23:39:35 |
mediastreamer2-5.3.38-r0.apk | 385.1 KiB | 2024-04-13 17:05:21 |
ovos-core-0.0.8_alpha24-r1.apk | 385.4 KiB | 2024-04-15 21:51:24 |
py3-telegram-bot-20.8-r1.apk | 386.0 KiB | 2024-04-15 21:52:03 |
py3-owslib-pyc-0.30.0-r0.apk | 387.2 KiB | 2024-04-28 22:40:39 |
lite-xl-2.1.1-r1.apk | 390.0 KiB | 2024-01-22 02:30:29 |
toml2json-1.3.1-r0.apk | 390.5 KiB | 2023-08-05 10:41:12 |
gdcm-3.0.23-r2.apk | 390.5 KiB | 2024-04-15 21:50:56 |
py3-xsdata-pyc-24.5-r0.apk | 392.4 KiB | 2024-05-10 07:27:06 |
schismtracker-20231029-r0.apk | 392.8 KiB | 2023-11-19 13:18:25 |
lumina-desktop-fm-1.6.2-r0.apk | 393.5 KiB | 2022-07-05 19:11:22 |
py3-onelogin-3.1.6-r1.apk | 393.7 KiB | 2024-04-15 21:51:57 |
zycore-doc-1.5.0-r0.apk | 393.9 KiB | 2024-04-05 22:35:59 |
simgear-dev-2020.3.19-r1.apk | 394.0 KiB | 2024-04-22 18:59:11 |
piper-phonemize-dev-2023.11.14.4-r1.apk | 394.2 KiB | 2024-03-10 14:56:36 |
ocaml-ca-certs-nss-3.89.1-r1.apk | 394.8 KiB | 2024-03-24 02:11:54 |
atlantik-3.5.10_git20240323-r0.apk | 395.2 KiB | 2024-03-23 19:39:57 |
ocaml-xml-light-dev-2.5-r0.apk | 395.5 KiB | 2024-03-24 02:12:52 |
py3-pacparser-1.4.3-r1.apk | 395.6 KiB | 2024-04-15 21:51:59 |
musikcube-plugin-server-3.0.2-r1.apk | 396.9 KiB | 2023-12-13 20:21:34 |
lomiri-clock-app-lang-4.0.3-r0.apk | 399.1 KiB | 2023-12-17 21:24:38 |
lizardfs-chunkserver-3.13.0-r13.apk | 399.6 KiB | 2024-04-22 18:58:30 |
htslib-1.19-r0.apk | 402.4 KiB | 2023-12-12 17:44:58 |
noblenote-1.2.1-r1.apk | 403.0 KiB | 2022-11-02 08:48:39 |
openvpn3-3.8.4-r0.apk | 403.8 KiB | 2024-02-17 18:30:17 |
pastel-0.9.0-r2.apk | 404.2 KiB | 2023-07-01 05:25:16 |
py3-igraph-0.11.4-r1.apk | 404.7 KiB | 2024-04-15 21:51:36 |
xml2rfc-pyc-3.21.0-r0.apk | 406.5 KiB | 2024-04-17 13:39:32 |
uefitool-0.28.0-r1.apk | 407.0 KiB | 2022-11-02 08:49:31 |
lsmash-dev-2.14.5-r2.apk | 407.7 KiB | 2022-11-02 08:48:21 |
highctidh-1.0.2024050500-r0.apk | 407.8 KiB | 2024-05-06 00:45:38 |
py3-highctidh-1.0.2024050500-r0.apk | 408.5 KiB | 2024-05-06 00:45:39 |
startup-2.0.3-r4.apk | 409.7 KiB | 2023-07-01 05:25:17 |
vkbasalt-0.3.2.10-r0.apk | 409.8 KiB | 2024-01-18 23:40:30 |
birdtray-1.9.0-r1.apk | 409.9 KiB | 2022-11-02 08:46:35 |
qsynth-0.9.13-r0.apk | 411.3 KiB | 2024-02-03 20:58:18 |
py3-fastavro-1.9.4-r2.apk | 411.4 KiB | 2024-05-09 03:06:13 |
yazi-cli-0.2.5-r0.apk | 411.8 KiB | 2024-04-28 22:24:57 |
netdiscover-0.10-r0.apk | 412.5 KiB | 2023-09-29 23:01:25 |
lua5.1-luacov-html-1.0.0-r1.apk | 412.7 KiB | 2022-06-02 15:04:11 |
lua5.2-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2022-06-02 15:04:11 |
lua5.3-luacov-html-1.0.0-r1.apk | 412.8 KiB | 2022-06-02 15:04:11 |
drumgizmo-0.9.20-r1.apk | 414.4 KiB | 2023-06-22 06:48:21 |
desed-1.2.1-r1.apk | 417.3 KiB | 2023-05-24 07:48:16 |
gaupol-pyc-1.12-r2.apk | 419.0 KiB | 2024-04-15 21:50:56 |
arcticons-icon-theme-light-9.2.4.1-r0.apk | 419.3 KiB | 2024-05-06 21:38:19 |
arcticons-icon-theme-dark-9.2.4.1-r0.apk | 419.5 KiB | 2024-05-06 21:38:19 |
seastar-dev-22.11.0_git20240315-r2.apk | 420.5 KiB | 2024-04-02 07:53:30 |
projectm-pulseaudio-3.1.12-r2.apk | 421.4 KiB | 2024-05-09 03:06:13 |
pspp-doc-1.4.1-r3.apk | 421.6 KiB | 2023-07-04 00:01:47 |
qtpass-1.4.0-r0.apk | 424.2 KiB | 2023-11-02 21:46:06 |
syncthing-gtk-0.9.4.5-r1.apk | 424.5 KiB | 2024-04-15 21:52:07 |
knxd-0.14.59-r0.apk | 426.3 KiB | 2023-09-21 22:53:55 |
font-stix-ttf-2.13-r0.apk | 429.8 KiB | 2024-02-23 02:13:22 |
perl-dbix-class-0.082843-r1.apk | 429.9 KiB | 2023-07-04 00:01:45 |
ircd-hybrid-8.2.43-r0.apk | 432.8 KiB | 2023-06-08 20:45:20 |
exabgp-4.2.21-r4.apk | 435.6 KiB | 2024-04-15 21:50:55 |
libqofono-qt6-0.122-r0.apk | 436.1 KiB | 2023-12-24 15:57:29 |
py3-lingua-franca-pyc-0.4.8_alpha3-r1.apk | 436.5 KiB | 2024-04-15 21:51:39 |
lcalc-doc-2.0.5-r1.apk | 436.9 KiB | 2023-03-15 12:17:14 |
py3-aiohttp-debugtoolbar-0.6.1-r1.apk | 437.0 KiB | 2024-04-15 21:51:25 |
ocaml-fix-dev-20220121-r2.apk | 438.2 KiB | 2024-03-24 02:12:08 |
spice-html5-0.3.0-r1.apk | 438.5 KiB | 2021-09-10 05:35:50 |
py3-textual-0.47.1-r1.apk | 438.6 KiB | 2024-04-15 21:52:04 |
xsane-lang-0.999-r1.apk | 440.2 KiB | 2022-12-16 07:48:46 |
terminalpp-0.8.4-r0.apk | 440.2 KiB | 2022-10-12 19:47:53 |
ocaml-topkg-dev-1.0.5-r2.apk | 441.7 KiB | 2024-03-24 02:12:51 |
highctidh-dev-1.0.2024050500-r0.apk | 442.3 KiB | 2024-05-06 00:45:39 |
megazeux-doc-2.93-r0.apk | 443.6 KiB | 2024-01-19 01:36:07 |
pdm-pyc-2.12.4-r1.apk | 444.0 KiB | 2024-04-15 21:51:24 |
cargo-sort-1.0.9_git20240110-r0.apk | 444.5 KiB | 2024-04-22 18:58:06 |
gamescope-3.12.0-r1.apk | 444.5 KiB | 2023-11-25 23:42:13 |
esptool-pyc-4.7.0-r1.apk | 446.1 KiB | 2024-04-15 21:50:55 |
ocaml-otoml-1.0.5-r0.apk | 446.3 KiB | 2024-04-22 06:21:39 |
volatility3-2.5.2-r1.apk | 447.4 KiB | 2024-04-15 21:52:08 |
ocaml-ca-certs-nss-dev-3.89.1-r1.apk | 447.8 KiB | 2024-03-24 02:11:54 |
ghostcloud-0.9.9.5-r2.apk | 449.1 KiB | 2024-04-30 12:29:17 |
reaver-wps-fork-t6x-1.6.6-r1.apk | 449.2 KiB | 2022-11-02 08:49:04 |
py3-flask-bootstrap-3.3.7.1-r8.apk | 449.5 KiB | 2024-04-15 21:51:35 |
ocaml-cairo2-dev-0.6.2-r2.apk | 449.5 KiB | 2024-03-24 02:11:55 |
welle-io-2.4-r5.apk | 451.6 KiB | 2024-04-24 20:50:28 |
lout-doc-3.42.2-r0.apk | 452.3 KiB | 2023-06-12 21:35:42 |
pypy-tkinter-7.3.12-r0.apk | 452.4 KiB | 2023-06-16 23:14:02 |
projectm-3.1.12-r2.apk | 455.1 KiB | 2024-05-09 03:06:12 |
nmail-4.54-r0.apk | 458.2 KiB | 2024-03-24 16:11:09 |
cdist-7.0.0-r5.apk | 460.4 KiB | 2024-04-15 21:50:55 |
nitrocli-0.4.1-r3.apk | 461.5 KiB | 2023-05-24 07:48:19 |
gdcm-dev-3.0.23-r2.apk | 462.3 KiB | 2024-04-15 21:50:56 |
featherpad-lang-1.5.1-r0.apk | 462.5 KiB | 2024-05-10 00:44:05 |
ocaml-metrics-dev-0.4.0-r3.apk | 463.2 KiB | 2024-03-24 02:12:19 |
libretro-tyrquake-0_git20220409-r0.apk | 467.7 KiB | 2022-04-21 10:27:43 |
perl-html-object-doc-0.5.0-r0.apk | 469.6 KiB | 2024-05-05 05:25:01 |
ocaml-bos-0.2.1-r2.apk | 469.7 KiB | 2024-03-24 02:11:54 |
opensm-3.3.24-r2.apk | 469.8 KiB | 2023-05-15 20:51:53 |
mapnik-dev-3.1.0-r25.apk | 470.3 KiB | 2023-11-13 21:47:54 |
ocaml-uuidm-tools-0.9.8-r2.apk | 471.9 KiB | 2024-03-24 02:12:52 |
gnome-metronome-1.3.0-r0.apk | 472.1 KiB | 2023-06-14 22:17:06 |
cln-1.3.7-r0.apk | 472.5 KiB | 2024-01-28 13:57:51 |
timeshift-24.01.1-r0.apk | 472.8 KiB | 2024-03-09 17:02:29 |
mm-common-1.0.5-r0.apk | 472.8 KiB | 2023-01-01 22:06:45 |
ocaml-alcotest-1.5.0-r4.apk | 472.9 KiB | 2024-04-22 06:21:27 |
diskonaut-0.11.0-r3.apk | 473.1 KiB | 2023-05-24 07:48:16 |
ocaml-jsonm-tools-1.0.2-r0.apk | 473.9 KiB | 2024-04-22 06:21:39 |
ocaml-cmdliner-1.1.1-r3.apk | 474.6 KiB | 2024-03-24 02:11:57 |
svgbob-0.7.2-r0.apk | 475.1 KiB | 2023-09-09 13:20:29 |
libmedc-python-pyc-4.1.1-r3.apk | 475.2 KiB | 2024-05-05 05:25:00 |
cargo-vendor-filterer-0.5.9-r1.apk | 481.3 KiB | 2023-05-24 07:48:16 |
py3-scrapy-pyc-2.11.1-r1.apk | 481.8 KiB | 2024-04-15 21:52:01 |
cargo-run-bin-1.7.2-r0.apk | 482.2 KiB | 2024-01-19 02:12:15 |
logwatch-7.10-r1.apk | 483.2 KiB | 2024-05-05 15:28:29 |
ocaml-ocp-index-1.3.6-r0.apk | 485.1 KiB | 2024-03-24 02:12:23 |
motion-lang-4.6.0-r0.apk | 487.5 KiB | 2023-11-13 22:52:34 |
flameshot-lang-12.1.0-r3.apk | 488.7 KiB | 2023-10-08 10:48:28 |
vcdimager-2.0.1-r3.apk | 488.9 KiB | 2023-04-29 21:47:36 |
mint-x-theme-gtk2-2.1.1-r0.apk | 489.6 KiB | 2023-06-12 15:01:22 |
9base-troff-6-r1.apk | 489.7 KiB | 2022-01-27 18:56:59 |
chicago95-3.0.1-r0.apk | 491.2 KiB | 2024-01-19 03:34:47 |
py3-openwisp-utils-1.0.4-r2.apk | 491.9 KiB | 2024-04-15 21:51:58 |
wordgrinder-0.8-r1.apk | 493.1 KiB | 2022-11-02 08:49:38 |
libretro-beetle-pce-fast-0_git20220205-r0.apk | 493.6 KiB | 2022-04-21 10:27:30 |
qstardict-1.3-r1.apk | 495.1 KiB | 2022-11-02 08:49:04 |
visidata-pyc-2.11.1-r2.apk | 497.1 KiB | 2024-04-15 21:52:08 |
ocaml-sexplib-0.16.0-r0.apk | 497.3 KiB | 2024-03-24 02:12:49 |
tpm2-tools-doc-5.6-r0.apk | 498.1 KiB | 2024-02-01 01:07:42 |
getting-things-gnome-doc-0.6-r3.apk | 498.2 KiB | 2024-04-15 21:50:56 |
ocaml-lwd-0.3-r0.apk | 499.3 KiB | 2024-03-24 02:12:17 |
py3-litex-hub-pythondata-cpu-cva5-2023.12-r4.apk | 499.8 KiB | 2024-04-15 21:51:41 |
uucp-1.07-r5.apk | 500.8 KiB | 2023-06-19 18:40:21 |
materia-dark-kde-plasma-20220823-r0.apk | 502.7 KiB | 2023-03-19 22:42:03 |
libsigrok-0.5.2-r2.apk | 503.2 KiB | 2023-05-15 20:51:51 |
libretro-beetle-supergrafx-0_git20220218-r0.apk | 504.5 KiB | 2022-04-21 10:27:31 |
plots-0.7.0-r0.apk | 506.0 KiB | 2023-09-25 04:43:32 |
libretro-neocd-0_git20220325-r0.apk | 507.3 KiB | 2022-04-21 10:27:42 |
libguestfs-static-1.52.0-r1.apk | 507.6 KiB | 2024-04-15 21:51:07 |
mint-x-theme-gtk4-2.1.1-r0.apk | 510.2 KiB | 2023-06-12 15:01:22 |
qflipper-1.3.3-r0.apk | 511.1 KiB | 2023-11-15 23:43:23 |
ovn-doc-24.03.1-r0.apk | 511.7 KiB | 2024-04-12 06:16:43 |
barman-pyc-3.10.0-r1.apk | 515.1 KiB | 2024-04-15 21:50:53 |
flameshot-12.1.0-r3.apk | 521.0 KiB | 2023-10-08 10:48:28 |
libubox-static-20230523-r0.apk | 521.8 KiB | 2023-08-06 19:59:31 |
gstreamermm-1.10.0-r4.apk | 522.1 KiB | 2022-11-02 08:47:17 |
py3-beartype-pyc-0.18.5-r0.apk | 524.8 KiB | 2024-04-25 00:51:00 |
ocaml-zed-3.1.0-r3.apk | 526.1 KiB | 2024-03-24 02:12:53 |
htslib-static-1.19-r0.apk | 527.1 KiB | 2023-12-12 17:44:58 |
httrack-doc-3.49.2-r5.apk | 527.7 KiB | 2023-05-15 20:51:45 |
nitro-2.7_beta8-r2.apk | 528.0 KiB | 2023-10-19 16:18:22 |
ocaml-ocp-index-dev-1.3.6-r0.apk | 529.0 KiB | 2024-03-24 02:12:23 |
py3-nikola-pyc-8.3.0-r2.apk | 529.1 KiB | 2024-04-15 21:51:55 |
heh-0.5.0-r0.apk | 529.7 KiB | 2024-04-10 23:39:33 |
scooper-1.3-r1.apk | 530.5 KiB | 2023-05-15 20:51:56 |
apk-tools3-dbg-3.0.0_pre2_git20240401-r0.apk | 531.0 KiB | 2024-04-02 19:48:16 |
aspell-es-1.11-r0.apk | 533.0 KiB | 2022-05-12 08:37:28 |
xfe-lang-1.46.1-r0.apk | 533.4 KiB | 2024-03-05 00:39:42 |
mkdocs-bootswatch-1.1-r4.apk | 534.6 KiB | 2024-04-15 21:51:19 |
kimchi-3.0.0-r7.apk | 535.7 KiB | 2024-04-15 21:51:05 |
supermin-5.2.2-r2.apk | 536.4 KiB | 2024-04-18 11:10:44 |
mmtc-0.3.2-r0.apk | 538.0 KiB | 2023-11-12 10:22:41 |
mapserver-dev-8.0.1-r3.apk | 538.9 KiB | 2024-05-06 10:20:28 |
litehtml-static-0.8-r2.apk | 539.0 KiB | 2023-08-01 12:38:04 |
ocaml-otr-dev-0.3.10-r2.apk | 540.0 KiB | 2024-03-24 02:12:24 |
firewalld-lang-2.1.2-r0.apk | 540.6 KiB | 2024-04-13 17:05:19 |
ocaml-re-1.11.0-r1.apk | 545.5 KiB | 2024-03-24 02:12:36 |
libmedc-4.1.1-r3.apk | 546.3 KiB | 2024-05-05 05:24:56 |
log4cxx-1.1.0-r1.apk | 546.5 KiB | 2023-09-16 10:06:05 |
ocaml-ounit-2.2.7-r3.apk | 547.5 KiB | 2024-03-24 02:12:24 |
riemann-cli-0.8.0-r2.apk | 548.8 KiB | 2023-05-24 07:48:24 |
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r2.apk | 550.0 KiB | 2024-04-15 21:51:59 |
turn-rs-balance-2.1.3-r0.apk | 551.3 KiB | 2024-04-18 14:04:17 |
meep-dev-1.28.0-r1.apk | 552.9 KiB | 2024-05-05 05:25:00 |
qtmir-0.7.2-r0.apk | 555.0 KiB | 2024-01-28 17:47:30 |
quakespasm-0.96.1-r0.apk | 557.1 KiB | 2024-01-05 21:32:42 |
libguestfs-doc-1.52.0-r1.apk | 559.6 KiB | 2024-04-15 21:51:07 |
bore-0.5.0-r1.apk | 559.8 KiB | 2023-05-24 07:48:15 |
py3-cassandra-driver-pyc-3.29.1-r0.apk | 560.5 KiB | 2024-04-15 21:51:30 |
macchina-6.1.8-r1.apk | 562.2 KiB | 2023-05-24 07:48:19 |
ocaml-conduit-dev-6.1.0-r0.apk | 562.2 KiB | 2024-04-22 06:21:32 |
lol-html-1.1.1-r0.apk | 562.3 KiB | 2023-11-04 13:05:59 |
ocaml-bisect_ppx-dev-2.8.3-r0.apk | 562.6 KiB | 2024-03-24 02:11:53 |
mailutils-libs-3.17-r0.apk | 563.5 KiB | 2024-01-19 17:51:56 |
faust-static-2.60.3-r2.apk | 565.5 KiB | 2023-06-29 02:17:48 |
perl-libintl-perl-doc-1.33-r1.apk | 566.5 KiB | 2023-07-04 00:01:46 |
hyperlink-0.1.32-r0.apk | 567.0 KiB | 2024-04-03 01:00:25 |
ocaml-ocp-indent-1.8.2-r2.apk | 567.2 KiB | 2024-03-24 02:12:22 |
komikku-pyc-1.39.0-r1.apk | 567.2 KiB | 2024-04-18 15:01:02 |
font-tiresias-0_git20200704-r0.apk | 568.0 KiB | 2023-01-02 22:43:13 |
pari-2.15.4-r0.apk | 568.3 KiB | 2023-07-11 03:38:14 |
create-tauri-app-3.13.17-r0.apk | 571.3 KiB | 2024-03-28 20:30:46 |
py3-optuna-pyc-3.6.1-r1.apk | 572.3 KiB | 2024-04-15 21:51:58 |
php81-mbstring-8.1.28-r0.apk | 572.5 KiB | 2024-04-10 23:39:35 |
ocaml-ipaddr-dev-5.3.1-r2.apk | 574.0 KiB | 2024-03-24 02:12:10 |
orage-4.18.0-r0.apk | 574.4 KiB | 2023-02-23 22:59:54 |
libretro-pcsx-rearmed-0_git20220409-r0.apk | 575.0 KiB | 2022-04-21 10:27:42 |
gufw-24.04-r1.apk | 576.2 KiB | 2024-04-15 21:50:57 |
nicotine-plus-lang-3.3.2-r1.apk | 576.9 KiB | 2024-04-15 21:51:20 |
xonsh-0.16.0-r0.apk | 577.5 KiB | 2024-05-06 21:38:20 |
moosefs-static-3.0.117-r1.apk | 584.5 KiB | 2023-06-17 21:33:41 |
ocaml-bitstring-dev-4.1.0-r3.apk | 584.8 KiB | 2024-03-24 02:11:54 |
ocaml-erm_xml-0_git20211229-r2.apk | 587.0 KiB | 2024-03-24 02:12:07 |
fcitx5-configtool-5.1.5-r0.apk | 588.5 KiB | 2024-05-10 03:39:43 |
ocaml-xmlm-1.4.0-r2.apk | 588.8 KiB | 2024-03-24 02:12:52 |
ocaml-asn1-combinators-dev-0.2.6-r2.apk | 591.0 KiB | 2024-03-24 02:11:50 |
ocaml-ppx_sexp_conv-0.16.0-r0.apk | 591.5 KiB | 2024-03-24 02:12:27 |
bees-0.10-r0.apk | 591.6 KiB | 2023-09-05 12:00:14 |
opentelemetry-cpp-1.11.0-r2.apk | 591.7 KiB | 2023-11-15 14:38:33 |
libretro-openlara-0_git20210121-r0.apk | 592.0 KiB | 2022-04-21 10:27:42 |
ocaml-biniou-1.2.1-r5.apk | 594.9 KiB | 2024-03-24 02:11:52 |
uranium-5.2.2-r3.apk | 595.3 KiB | 2024-04-15 21:52:07 |
lomiri-download-manager-0.1.3-r1.apk | 595.9 KiB | 2024-04-22 18:58:31 |
py3-aiosmb-0.4.10-r1.apk | 596.5 KiB | 2024-04-15 21:51:25 |
jrsonnet-cli-0.4.2-r1.apk | 598.0 KiB | 2023-05-24 07:48:18 |
rpg-cli-1.0.1-r1.apk | 598.3 KiB | 2023-05-24 07:48:24 |
gamja-1.0.0_beta9-r0.apk | 598.9 KiB | 2023-11-26 22:36:59 |
ttyper-1.4.1-r0.apk | 601.0 KiB | 2024-02-03 09:34:27 |
felix-2.13.0-r0.apk | 602.6 KiB | 2024-05-05 05:24:51 |
mint-x-theme-gtk3-2.1.1-r0.apk | 603.2 KiB | 2023-06-12 15:01:22 |
opendht-libs-3.1.7-r2.apk | 604.5 KiB | 2024-04-15 21:51:23 |
wayfire-plugins-extra-0.8.1-r0.apk | 606.1 KiB | 2024-03-15 22:53:07 |
ocaml-amqp-client-2.3.0-r0.apk | 609.5 KiB | 2024-04-22 06:21:27 |
marxan-4.0.7-r1.apk | 610.5 KiB | 2022-11-02 08:48:32 |
ettercap-0.8.3.1-r2.apk | 610.8 KiB | 2022-10-21 21:53:25 |
ocaml-tsdl-dev-1.0.0-r0.apk | 611.3 KiB | 2024-04-22 06:21:45 |
ocaml-fileutils-dev-0.6.4-r2.apk | 614.5 KiB | 2024-03-24 02:12:08 |
belle-sip-5.3.38-r0.apk | 620.3 KiB | 2024-04-13 17:05:19 |
ocaml-topkg-1.0.5-r2.apk | 621.2 KiB | 2024-03-24 02:12:51 |
gutenprint-libs-5.3.4-r3.apk | 622.0 KiB | 2023-05-21 17:06:52 |
lomiri-indicator-network-1.0.2-r0.apk | 624.2 KiB | 2024-02-06 23:41:36 |
font-chivo-mono-0_git20221110-r0.apk | 625.6 KiB | 2022-12-09 22:19:20 |
ocaml-gen-dev-1.1-r1.apk | 626.0 KiB | 2024-03-24 02:12:08 |
ocaml-notty-dev-0.2.3-r0.apk | 628.3 KiB | 2024-03-24 02:12:21 |
py3-trimesh-3.22.1-r1.apk | 629.3 KiB | 2024-04-15 21:52:04 |
java-gdcm-3.0.23-r2.apk | 631.3 KiB | 2024-04-15 21:51:03 |
ocaml-ocp-indent-dev-1.8.2-r2.apk | 638.1 KiB | 2024-03-24 02:12:23 |
mkdocs-gitbook-0.0.1-r4.apk | 638.3 KiB | 2024-04-15 21:51:19 |
apk-tools3-dev-3.0.0_pre2_git20240401-r0.apk | 641.4 KiB | 2024-04-02 19:48:16 |
gutenprint-samples-5.3.4-r3.apk | 644.3 KiB | 2023-05-21 17:06:52 |
greetd-wlgreet-0.5.0-r0.apk | 645.2 KiB | 2024-04-21 23:52:37 |
boinc-dev-7.24.3-r0.apk | 645.5 KiB | 2024-02-22 01:03:47 |
meep-1.28.0-r1.apk | 646.0 KiB | 2024-05-05 05:25:00 |
nsh-0.4.2-r1.apk | 646.4 KiB | 2023-05-24 07:48:19 |
mkdocs-cluster-0.0.9-r4.apk | 648.9 KiB | 2024-04-15 21:51:19 |
ocaml-extlib-1.7.9-r2.apk | 649.7 KiB | 2024-03-24 02:12:07 |
font-material-icons-4.0.0-r0.apk | 651.6 KiB | 2023-02-26 18:22:06 |
projectm-dev-3.1.12-r2.apk | 652.4 KiB | 2024-05-09 03:06:12 |
ocaml-down-0.1.0-r3.apk | 653.3 KiB | 2024-03-24 02:12:07 |
py3-telegram-bot-pyc-20.8-r1.apk | 653.7 KiB | 2024-04-15 21:52:04 |
mint-y-theme-gtk2-2.1.1-r0.apk | 655.9 KiB | 2023-06-12 15:01:22 |
libretro-daphne-0_git20210108-r1.apk | 658.7 KiB | 2021-06-03 14:20:22 |
ocaml-uutf-1.0.3-r2.apk | 658.8 KiB | 2024-03-24 02:12:52 |
eva-0.3.1-r2.apk | 659.3 KiB | 2023-05-24 07:48:16 |
py3-cvxpy-1.2.1-r4.apk | 662.4 KiB | 2024-04-15 21:51:31 |
mdbook-katex-0.8.0-r0.apk | 664.2 KiB | 2024-04-15 21:51:19 |
perl-gtk2-doc-1.24993-r5.apk | 666.0 KiB | 2023-07-04 00:01:46 |
bonzomatic-20230615-r0.apk | 667.0 KiB | 2023-09-29 15:19:17 |
paperde-0.2.1-r1.apk | 667.0 KiB | 2023-04-23 06:02:26 |
postgresql-pg_later-0.0.14-r0.apk | 669.7 KiB | 2024-01-31 01:39:36 |
py3-aioxmpp-pyc-0.13.3-r2.apk | 672.9 KiB | 2024-04-15 21:51:25 |
firehol-doc-3.1.7-r2.apk | 674.3 KiB | 2023-05-12 18:07:34 |
yaru-sounds-23.10.0-r0.apk | 675.9 KiB | 2024-04-18 02:08:46 |
py3-gdcm-3.0.23-r2.apk | 678.5 KiB | 2024-04-15 21:51:35 |
fcitx5-bamboo-1.0.5-r0.apk | 679.2 KiB | 2024-05-10 03:39:43 |
pitivi-lang-2023.03-r1.apk | 679.6 KiB | 2024-04-16 16:11:02 |
ocaml-qcheck-0.18.1-r3.apk | 681.4 KiB | 2024-03-24 02:12:36 |
ocaml-cohttp-5.3.1-r0.apk | 683.2 KiB | 2024-04-22 06:21:30 |
openvpn3-dev-3.8.4-r0.apk | 685.6 KiB | 2024-02-17 18:30:17 |
bordeaux-en_voices-0.8.1-r0.apk | 687.3 KiB | 2024-02-28 00:46:15 |
lumins-0.4.0-r2.apk | 688.6 KiB | 2023-05-24 07:48:19 |
sudo-ldap-1.9.14-r1.apk | 695.2 KiB | 2023-07-29 20:02:55 |
pypykatz-pyc-0.6.9-r1.apk | 697.6 KiB | 2024-04-15 21:52:05 |
libvmime-0.9.2.175-r0.apk | 698.4 KiB | 2024-04-26 06:33:02 |
libretro-snes9x-0_git20220414-r0.apk | 701.0 KiB | 2022-04-21 10:27:43 |
gforth-0.7.3-r3.apk | 702.1 KiB | 2021-10-15 04:08:52 |
pitivi-pyc-2023.03-r1.apk | 703.5 KiB | 2024-04-16 16:11:02 |
apprise-pyc-1.7.6-r0.apk | 704.5 KiB | 2024-04-15 23:37:03 |
ocaml-higlo-dev-0.9-r0.apk | 706.8 KiB | 2024-04-22 06:21:38 |
grommunio-dav-2.0_git20240118-r0.apk | 707.2 KiB | 2024-04-26 06:32:53 |
ecasound-2.9.3-r3.apk | 708.8 KiB | 2023-09-25 19:27:12 |
bobcat-4.09.00-r0.apk | 709.3 KiB | 2023-10-06 07:04:40 |
bobcat-dev-4.09.00-r0.apk | 712.4 KiB | 2023-10-06 07:04:40 |
sing-geosite-20231212122459-r0.apk | 715.0 KiB | 2023-12-13 17:19:37 |
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r0.apk | 715.8 KiB | 2023-11-02 11:36:06 |
simp1e-cursors-solarized-0_git20211003-r0.apk | 717.4 KiB | 2022-02-08 11:53:31 |
libretro-bluemsx-0_git20220213-r0.apk | 719.9 KiB | 2022-04-21 10:27:32 |
ocaml-cstruct-dev-6.1.0-r3.apk | 721.5 KiB | 2024-03-24 02:12:01 |
getting-things-gnome-0.6-r3.apk | 722.6 KiB | 2024-04-15 21:50:56 |
qtile-pyc-0.23.0-r1.apk | 724.0 KiB | 2024-04-15 21:52:06 |
kondo-0.8-r0.apk | 724.2 KiB | 2023-12-20 22:45:54 |
py3-slixmpp-pyc-1.8.5-r2.apk | 729.2 KiB | 2024-04-14 17:05:06 |
pacparser-1.4.3-r1.apk | 729.3 KiB | 2024-04-15 21:51:24 |
tsung-1.8.0-r2.apk | 729.9 KiB | 2023-12-19 08:09:28 |
dbus-waiter-0.2.0-r0.apk | 730.3 KiB | 2023-10-29 12:14:09 |
py3-beartype-0.18.5-r0.apk | 730.5 KiB | 2024-04-25 00:51:00 |
hunspell-ca-es-3.0.7-r0.apk | 731.0 KiB | 2022-12-04 12:25:32 |
py3-trimesh-pyc-3.22.1-r1.apk | 731.1 KiB | 2024-04-15 21:52:04 |
yaru-theme-mate-23.10.0-r0.apk | 735.3 KiB | 2024-04-18 02:08:47 |
py3-python-stdnum-1.19-r1.apk | 736.3 KiB | 2024-04-15 21:52:00 |
sequoia-sqv-1.2.1-r0.apk | 736.3 KiB | 2024-04-21 18:49:28 |
py3-i18naddress-3.1.0-r2.apk | 737.4 KiB | 2024-04-15 21:51:36 |
git-cola-pyc-4.4.1-r1.apk | 738.5 KiB | 2024-04-15 21:50:57 |
simp1e-cursors-0_git20211003-r0.apk | 741.1 KiB | 2022-02-08 11:53:30 |
timeshift-lang-24.01.1-r0.apk | 743.9 KiB | 2024-03-09 17:02:30 |
castor-0.9.0-r2.apk | 744.9 KiB | 2023-05-24 07:48:16 |
yaru-shell-23.10.0-r0.apk | 745.3 KiB | 2024-04-18 02:08:46 |
ocaml-gettext-dev-0.4.2-r3.apk | 745.8 KiB | 2024-03-24 02:12:09 |
featherpad-1.5.1-r0.apk | 746.1 KiB | 2024-05-10 00:44:05 |
prjtrellis-db-machxo3d-0_git20230929-r0.apk | 747.5 KiB | 2024-01-12 02:24:43 |
responder-3.1.4.0-r0.apk | 748.8 KiB | 2024-01-05 21:30:26 |
udpt-3.1.2-r0.apk | 748.8 KiB | 2023-05-26 21:46:55 |
freshrss-doc-1.23.1-r1.apk | 751.0 KiB | 2024-03-18 06:44:28 |
libsemigroups-2.7.3-r0.apk | 751.6 KiB | 2024-01-22 06:23:10 |
nextpnr-generic-0.6-r2.apk | 752.1 KiB | 2024-04-22 18:58:44 |
nicotine-plus-pyc-3.3.2-r1.apk | 754.7 KiB | 2024-04-15 21:51:20 |
rtw89-src-7_p20230725-r0.apk | 758.5 KiB | 2023-07-26 06:23:34 |
httrack-3.49.2-r5.apk | 760.0 KiB | 2023-05-15 20:51:45 |
maxima-doc-5.47.0-r7.apk | 760.7 KiB | 2024-04-19 06:22:42 |
ocaml-utop-dev-2.9.1-r4.apk | 762.5 KiB | 2024-04-04 10:21:20 |
py3-livestream-2.0.0-r2.apk | 766.9 KiB | 2024-04-17 02:55:21 |
yaru-theme-purple-23.10.0-r0.apk | 766.9 KiB | 2024-04-18 02:08:48 |
libretro-genesis-plus-gx-0_git20230503-r0.apk | 767.4 KiB | 2023-05-05 07:19:42 |
yaru-theme-olive-23.10.0-r0.apk | 769.0 KiB | 2024-04-18 02:08:47 |
yaru-theme-prussiangreen-23.10.0-r0.apk | 769.1 KiB | 2024-04-18 02:08:47 |
yaru-theme-red-23.10.0-r0.apk | 770.0 KiB | 2024-04-18 02:08:48 |
yaru-theme-viridian-23.10.0-r0.apk | 770.1 KiB | 2024-04-18 02:08:48 |
faust-dev-2.60.3-r2.apk | 770.3 KiB | 2023-06-29 02:17:46 |
yaru-theme-magenta-23.10.0-r0.apk | 771.4 KiB | 2024-04-18 02:08:47 |
yaru-theme-bark-23.10.0-r0.apk | 772.7 KiB | 2024-04-18 02:08:46 |
yaru-theme-sage-23.10.0-r0.apk | 772.7 KiB | 2024-04-18 02:08:48 |
yaru-theme-blue-23.10.0-r0.apk | 775.5 KiB | 2024-04-18 02:08:47 |
dnssec-tools-2.2.3-r10.apk | 778.6 KiB | 2023-07-08 01:17:58 |
wget2-dbg-2.1.0-r0.apk | 778.9 KiB | 2023-09-04 09:48:37 |
simp1e-cursors-dark-0_git20211003-r0.apk | 779.7 KiB | 2022-02-08 11:53:31 |
php81-pecl-mongodb-1.18.1-r0.apk | 784.4 KiB | 2024-04-13 17:05:21 |
xboard-4.9.1-r2.apk | 785.2 KiB | 2023-08-01 12:38:08 |
zsh-histdb-skim-0.8.6-r0.apk | 786.8 KiB | 2023-05-29 20:35:24 |
mkdocs-bootstrap386-0.0.2-r4.apk | 789.1 KiB | 2024-04-15 21:51:19 |
dlib-19.24.4-r0.apk | 790.0 KiB | 2024-04-02 08:34:28 |
pyradio-pyc-0.9.3.4-r0.apk | 791.3 KiB | 2024-05-04 11:20:52 |
font-chivo-0_git20221110-r0.apk | 792.1 KiB | 2022-12-09 22:19:20 |
ocaml-xtmpl-dev-0.19.0-r0.apk | 793.9 KiB | 2024-04-22 06:21:50 |
otrs-doc-6.0.48-r1.apk | 794.9 KiB | 2024-01-15 09:42:35 |
apache2-mod-perl-2.0.13-r0.apk | 795.4 KiB | 2023-10-22 13:00:41 |
ocaml-otoml-dev-1.0.5-r0.apk | 798.5 KiB | 2024-04-22 06:21:40 |
php81-pecl-xhprof-assets-2.3.9-r1.apk | 800.4 KiB | 2024-04-10 23:39:35 |
mpdris2-rs-0.2.3-r0.apk | 801.0 KiB | 2024-03-05 21:05:32 |
ocaml-iri-dev-1.0.0-r0.apk | 804.3 KiB | 2024-04-22 06:21:39 |
simavr-dev-1.7-r1.apk | 806.9 KiB | 2022-11-02 08:49:09 |
nmap-parse-output-doc-1.5.1-r0.apk | 807.5 KiB | 2022-06-12 21:55:08 |
ocaml-erm_xml-dev-0_git20211229-r2.apk | 809.7 KiB | 2024-03-24 02:12:07 |
hyprland-dev-0.39.1-r0.apk | 809.7 KiB | 2024-04-23 08:03:24 |
cherrytree-lang-1.1.2-r0.apk | 812.1 KiB | 2024-04-09 23:14:11 |
hdr10plus-tool-1.6.0-r0.apk | 812.3 KiB | 2023-06-12 15:20:40 |
emacs-helm-3.9.7_git20240329-r0.apk | 814.9 KiB | 2024-04-02 07:53:26 |
video-trimmer-0.8.2-r0.apk | 815.8 KiB | 2023-10-09 14:56:03 |
gutenprint-5.3.4-r3.apk | 817.7 KiB | 2023-05-21 17:06:47 |
volatility3-pyc-2.5.2-r1.apk | 820.5 KiB | 2024-04-15 21:52:08 |
cliphist-0.5.0-r2.apk | 823.2 KiB | 2024-04-07 00:46:06 |
twiggy-0.6.0-r3.apk | 823.7 KiB | 2023-05-24 07:48:28 |
cimg-3.3.5-r0.apk | 824.4 KiB | 2024-03-14 08:35:12 |
lumina-desktop-coreutils-1.6.2-r0.apk | 825.2 KiB | 2022-07-05 19:11:22 |
libmdbx-0.11.8-r0.apk | 825.6 KiB | 2022-07-02 04:27:53 |
git-cola-4.4.1-r1.apk | 826.0 KiB | 2024-04-15 21:50:57 |
planner-lang-0.14.92-r0.apk | 826.7 KiB | 2024-01-08 09:44:33 |
geonames-0.3.1-r1.apk | 827.4 KiB | 2024-04-17 17:02:42 |
simp1e-cursors-snow-0_git20211003-r0.apk | 827.9 KiB | 2022-02-08 11:53:31 |
dune-deps-1.3.0-r2.apk | 832.6 KiB | 2024-03-24 02:11:45 |
azure-iot-sdk-c-static-1.11.0-r0.apk | 834.1 KiB | 2023-10-19 16:18:21 |
komikku-1.39.0-r1.apk | 834.4 KiB | 2024-04-18 15:01:02 |
font-fira-code-6.2-r0.apk | 836.0 KiB | 2022-07-26 02:52:50 |
lomiri-download-manager-doc-0.1.3-r1.apk | 837.8 KiB | 2024-04-22 18:58:31 |
ocaml-x509-0.16.0-r2.apk | 844.9 KiB | 2024-03-24 02:12:52 |
liblinbox-dev-1.7.0-r3.apk | 846.2 KiB | 2023-08-01 12:38:02 |
ocaml-alcotest-dev-1.5.0-r4.apk | 846.3 KiB | 2024-04-22 06:21:27 |
ocaml-sexplib-dev-0.16.0-r0.apk | 848.2 KiB | 2024-03-24 02:12:50 |
font-katex-0.16.2-r0.apk | 851.6 KiB | 2022-09-20 19:46:46 |
emulationstation-2.11.2-r0.apk | 852.1 KiB | 2023-04-17 14:38:14 |
weggli-0.2.4-r1.apk | 853.2 KiB | 2023-05-24 07:48:30 |
APKINDEX.tar.gz | 854.5 KiB | 2024-05-10 07:27:06 |
yaru-theme-23.10.0-r0.apk | 855.7 KiB | 2024-04-18 02:08:46 |
gufw-lang-24.04-r1.apk | 856.5 KiB | 2024-04-15 21:50:57 |
simp1e-cursors-breeze-0_git20211003-r0.apk | 857.1 KiB | 2022-02-08 11:53:31 |
eiwd-2.16-r0.apk | 862.4 KiB | 2024-03-20 20:07:27 |
cddlib-doc-0.94m-r2.apk | 863.5 KiB | 2023-08-01 12:37:56 |
ocaml5-doc-5.1.1-r0.apk | 864.4 KiB | 2023-12-07 16:13:09 |
libabigail-2.3-r0.apk | 865.7 KiB | 2023-05-04 07:46:59 |
py3-textual-pyc-0.47.1-r1.apk | 871.1 KiB | 2024-04-15 21:52:04 |
libretro-theodore-3.1-r0.apk | 873.9 KiB | 2022-04-19 21:12:37 |
keepassxc-browser-1.8.9-r0.apk | 876.2 KiB | 2023-11-06 21:40:29 |
boinc-lang-7.24.3-r0.apk | 876.7 KiB | 2024-02-22 01:03:47 |
flann-1.9.1-r4.apk | 884.7 KiB | 2022-07-26 07:50:41 |
snapper-0.10.7-r1.apk | 886.0 KiB | 2024-04-22 18:59:12 |
fulcrum-1.9.8-r0.apk | 886.3 KiB | 2024-02-12 22:45:34 |
sshsrv-1.0-r4.apk | 886.9 KiB | 2024-04-07 00:50:58 |
grass-0.12.3-r1.apk | 887.2 KiB | 2023-05-24 07:48:17 |
pyradio-0.9.3.4-r0.apk | 887.6 KiB | 2024-05-04 11:20:52 |
lomiri-content-hub-doc-1.1.1-r0.apk | 893.4 KiB | 2024-02-10 13:52:53 |
perl-gtk2-1.24993-r5.apk | 895.0 KiB | 2023-07-04 00:01:46 |
ocaml-ctypes-0.20.1-r2.apk | 895.7 KiB | 2024-03-24 02:12:01 |
exabgp-pyc-4.2.21-r4.apk | 897.2 KiB | 2024-04-15 21:50:55 |
buildcache-0.28.9-r0.apk | 898.3 KiB | 2024-01-30 15:17:18 |
ocaml-lablgtk3-extras-3.0.1-r2.apk | 898.7 KiB | 2024-03-24 02:12:15 |
draw-0.1.1-r5.apk | 898.8 KiB | 2024-04-07 00:47:00 |
py3-onelogin-pyc-3.1.6-r1.apk | 899.6 KiB | 2024-04-15 21:51:57 |
py3-mbedtls-2.10.1-r1.apk | 899.7 KiB | 2024-04-28 19:13:56 |
ocaml-ctypes-dev-0.20.1-r2.apk | 902.0 KiB | 2024-03-24 02:12:02 |
pari-doc-2.15.4-r0.apk | 904.0 KiB | 2023-07-11 03:38:15 |
imgdiff-1.0.2-r18.apk | 904.7 KiB | 2024-04-07 00:48:11 |
homebank-lang-5.7.4-r0.apk | 905.8 KiB | 2024-02-18 20:23:16 |
ocaml-tsdl-1.0.0-r0.apk | 912.6 KiB | 2024-04-22 06:21:45 |
ocaml-menhir-dev-20220210-r2.apk | 913.5 KiB | 2024-03-24 02:12:19 |
mml-1.0.0-r0.apk | 914.0 KiB | 2023-11-10 23:48:18 |
php81-pecl-swoole-5.1.1-r0.apk | 915.8 KiB | 2024-04-10 23:39:35 |
silc-client-1.1.11-r16.apk | 918.1 KiB | 2023-10-18 16:23:34 |
sc-controller-pyc-0.4.8.13-r0.apk | 921.6 KiB | 2024-02-03 21:28:49 |
hstdb-2.1.0-r2.apk | 923.6 KiB | 2023-05-24 07:48:17 |
rezolus-2.11.1-r3.apk | 924.5 KiB | 2023-05-24 07:48:24 |
rust-script-0.34.0-r0.apk | 925.0 KiB | 2023-09-29 15:19:30 |
azpainter-3.0.7-r0.apk | 930.6 KiB | 2023-11-20 15:00:06 |
asteroid-launcher-dbg-2.0.0-r0.apk | 932.9 KiB | 2023-08-31 09:43:21 |
py3-litex-hub-pythondata-cpu-cv32e41p-2023.12-r4.apk | 933.9 KiB | 2024-04-15 21:51:41 |
turn-rs-cli-2.1.3-r0.apk | 934.5 KiB | 2024-04-18 14:04:17 |
repowerd-2023.07-r1.apk | 935.1 KiB | 2024-05-07 20:17:31 |
advancemame-menu-3.9-r4.apk | 935.5 KiB | 2023-03-15 12:17:10 |
py3-cvxpy-pyc-1.2.1-r4.apk | 935.9 KiB | 2024-04-15 21:51:31 |
swi-prolog-xpce-9.2.4-r0.apk | 942.1 KiB | 2024-04-29 01:03:31 |
mkdocs-windmill-1.0.5-r3.apk | 942.6 KiB | 2024-04-15 21:51:20 |
plib-1.8.5-r3.apk | 944.7 KiB | 2023-10-30 00:36:48 |
nixpacks-0.1.7-r1.apk | 944.9 KiB | 2023-05-24 07:48:19 |
leptosfmt-0.1.18-r0.apk | 946.5 KiB | 2024-01-19 15:23:38 |
tealdeer-1.6.1-r2.apk | 946.7 KiB | 2023-07-01 05:25:22 |
hikari-2.3.3-r6.apk | 946.9 KiB | 2024-02-02 21:18:03 |
gloox-dev-1.0.28-r0.apk | 950.1 KiB | 2023-11-24 00:25:24 |
php81-dev-8.1.28-r0.apk | 950.3 KiB | 2024-04-10 23:39:34 |
ndpi-dev-4.8-r0.apk | 952.3 KiB | 2023-10-24 06:35:26 |
py-spy-0.3.14-r3.apk | 958.2 KiB | 2023-07-01 05:25:16 |
wlroots0.12-dbg-0.12.0-r1.apk | 959.0 KiB | 2022-09-02 18:55:20 |
duf-0.8.1-r18.apk | 965.2 KiB | 2024-04-07 00:47:05 |
ocaml-parsexp-dev-0.16.0-r0.apk | 966.1 KiB | 2024-03-24 02:12:25 |
barrier-2.4.0-r1.apk | 969.0 KiB | 2022-08-04 12:03:21 |
apprise-1.7.6-r0.apk | 969.8 KiB | 2024-04-15 23:37:03 |
postgresql-pg_partman-5.0.0-r0.apk | 971.7 KiB | 2023-12-17 21:56:38 |
xed-doc-3.4.5-r0.apk | 973.3 KiB | 2024-01-08 09:44:44 |
kannel-dev-1.5.0-r11.apk | 973.6 KiB | 2023-04-29 21:47:16 |
greetd-regreet-0.1.1-r0.apk | 978.4 KiB | 2023-06-11 20:21:00 |
please-0.4.2-r2.apk | 982.9 KiB | 2023-05-24 07:48:21 |
stardict-3.0.6-r6.apk | 983.7 KiB | 2023-04-29 21:47:35 |
gutenprint-static-5.3.4-r3.apk | 986.5 KiB | 2023-05-21 17:06:52 |
ol-2.4-r0.apk | 994.4 KiB | 2023-03-28 07:53:26 |
flann-dev-1.9.1-r4.apk | 995.6 KiB | 2022-07-26 07:50:42 |
cluster-glue-dev-1.0.12-r5.apk | 997.3 KiB | 2023-04-29 21:47:14 |
ocaml-re-dev-1.11.0-r1.apk | 1002.3 KiB | 2024-03-24 02:12:37 |
font-comic-neue-doc-2.51-r0.apk | 1004.1 KiB | 2021-02-18 17:37:40 |
libretro-fuse-0_git20220417-r0.apk | 1004.6 KiB | 2022-04-21 10:27:37 |
gosu-1.17-r2.apk | 1006.5 KiB | 2024-04-07 00:47:43 |
lomiri-trust-store-2.0.2-r0.apk | 1011.9 KiB | 2024-02-06 23:41:36 |
prjtrellis-db-machxo2-0_git20230929-r0.apk | 1013.1 KiB | 2024-01-12 02:24:43 |
ddnrs-0.2.0-r0.apk | 1014.1 KiB | 2024-01-19 03:12:23 |
dovi-tool-2.0.3-r0.apk | 1016.4 KiB | 2023-06-12 15:20:40 |
laze-0.1.21-r0.apk | 1016.6 KiB | 2024-02-13 22:23:03 |
milkytracker-1.04.00-r2.apk | 1017.5 KiB | 2024-03-23 13:16:47 |
lomiri-telephony-service-0.5.3-r0.apk | 1017.6 KiB | 2024-02-06 23:41:36 |
xonsh-pyc-0.16.0-r0.apk | 1019.8 KiB | 2024-05-06 21:38:20 |
oh-my-zsh-0_git20220104-r1.apk | 1020.4 KiB | 2023-02-10 00:05:05 |
yices2-libs-2.6.4-r0.apk | 1021.8 KiB | 2023-02-10 05:17:18 |
pulseview-0.4.2-r8.apk | 1023.0 KiB | 2024-04-22 18:59:11 |
ocaml-markup-1.0.3-r3.apk | 1.0 MiB | 2024-03-24 02:12:19 |
limnoria-20220927-r3.apk | 1.0 MiB | 2024-04-15 21:51:18 |
wget2-dev-2.1.0-r0.apk | 1.0 MiB | 2023-09-04 09:48:38 |
rosenpass-0.2.1-r0.apk | 1.0 MiB | 2023-11-22 10:58:07 |
up-0.4-r20.apk | 1.0 MiB | 2024-04-07 00:51:44 |
qsstv-9.5.8-r2.apk | 1.0 MiB | 2023-03-17 19:03:00 |
ocaml-lwd-dev-0.3-r0.apk | 1.0 MiB | 2024-03-24 02:12:17 |
firewalld-2.1.2-r0.apk | 1.0 MiB | 2024-04-13 17:05:19 |
qpdfview-0.5-r0.apk | 1.0 MiB | 2023-02-13 14:23:31 |
tere-1.5.1-r0.apk | 1.0 MiB | 2023-08-26 16:39:35 |
hwatch-0.3.11-r0.apk | 1.0 MiB | 2024-03-18 01:01:31 |
wpaperd-0.3.0-r2.apk | 1.0 MiB | 2023-07-01 05:25:30 |
synapse-bt-cli-1.0-r4.apk | 1.0 MiB | 2023-05-24 07:48:27 |
lizardfs-master-3.13.0-r13.apk | 1.0 MiB | 2024-04-22 18:58:31 |
wireguard-go-0.0.20230223-r4.apk | 1.0 MiB | 2024-04-07 00:52:08 |
ocaml-yojson-2.1.2-r0.apk | 1.0 MiB | 2024-03-24 02:12:52 |
nzbget-21.1-r2.apk | 1.0 MiB | 2023-04-29 21:47:30 |
boinc-gui-7.24.3-r0.apk | 1.0 MiB | 2024-02-22 01:03:47 |
libcrypto1.1-1.1.1w-r0.apk | 1.0 MiB | 2023-09-12 11:29:35 |
wroomd-0.1.0-r0.apk | 1.0 MiB | 2023-10-06 06:01:44 |
brial-1.2.11-r3.apk | 1.0 MiB | 2024-04-22 18:58:06 |
yaru-icon-theme-bark-23.10.0-r0.apk | 1.0 MiB | 2024-04-18 02:08:45 |
quodlibet-4.6.0-r1.apk | 1.1 MiB | 2024-04-15 21:52:06 |
swi-prolog-xpce-doc-9.2.4-r0.apk | 1.1 MiB | 2024-04-29 01:03:32 |
gearman-dev-1.1.21-r1.apk | 1.1 MiB | 2024-04-22 18:58:14 |
ocaml-amqp-client-dev-2.3.0-r0.apk | 1.1 MiB | 2024-04-22 06:21:27 |
yaru-icon-theme-olive-23.10.0-r0.apk | 1.1 MiB | 2024-04-18 02:08:46 |
yaru-icon-theme-magenta-23.10.0-r0.apk | 1.1 MiB | 2024-04-18 02:08:46 |
prjtrellis-db-machxo3-0_git20230929-r0.apk | 1.1 MiB | 2024-01-12 02:24:43 |
py3-aiosmb-pyc-0.4.10-r1.apk | 1.1 MiB | 2024-04-15 21:51:25 |
go-mtpfs-1.0.0-r19.apk | 1.1 MiB | 2024-04-07 00:47:37 |
libmysofa-tools-1.3.2-r0.apk | 1.1 MiB | 2023-11-03 23:43:00 |
yaru-icon-theme-viridian-23.10.0-r0.apk | 1.1 MiB | 2024-04-18 02:08:46 |
yaru-icon-theme-prussiangreen-23.10.0-r0.apk | 1.1 MiB | 2024-04-18 02:08:46 |
ocaml-ounit-dev-2.2.7-r3.apk | 1.1 MiB | 2024-03-24 02:12:24 |
fox-1.6.57-r0.apk | 1.1 MiB | 2022-08-08 10:58:08 |
numbat-1.9.0-r0.apk | 1.1 MiB | 2024-02-06 03:37:55 |
horust-0.1.7-r1.apk | 1.1 MiB | 2023-05-24 07:48:17 |
xremap-wlr-0.8.18-r0.apk | 1.1 MiB | 2024-03-28 20:31:06 |
yaru-icon-theme-blue-23.10.0-r0.apk | 1.1 MiB | 2024-04-18 02:08:45 |
cutechess-1.3.1-r0.apk | 1.1 MiB | 2023-09-24 18:37:35 |
py3-onnxruntime-pyc-1.17.1-r1.apk | 1.1 MiB | 2024-04-15 21:51:58 |
xed-3.4.5-r0.apk | 1.1 MiB | 2024-01-08 09:44:44 |
qflipper-gui-1.3.3-r0.apk | 1.1 MiB | 2023-11-15 23:43:23 |
yaru-icon-theme-sage-23.10.0-r0.apk | 1.1 MiB | 2024-04-18 02:08:46 |
rdedup-3.2.1-r4.apk | 1.1 MiB | 2023-10-22 04:58:48 |
kbs2-0.7.2-r3.apk | 1.1 MiB | 2023-07-29 20:02:48 |
megazeux-2.93-r0.apk | 1.1 MiB | 2024-01-19 01:36:07 |
py3-litex-hub-modules-pyc-2023.12-r4.apk | 1.1 MiB | 2024-04-15 21:51:39 |
yaru-icon-theme-purple-23.10.0-r0.apk | 1.1 MiB | 2024-04-18 02:08:46 |
yaru-icon-theme-red-23.10.0-r0.apk | 1.1 MiB | 2024-04-18 02:08:46 |
ocaml-mirage-crypto-0.10.6-r3.apk | 1.1 MiB | 2024-03-24 02:12:20 |
openjdk22-jre-22.0.1_p8-r2.apk | 1.1 MiB | 2024-04-26 00:20:28 |
tartube-pyc-2.5.0-r0.apk | 1.1 MiB | 2024-01-11 22:04:30 |
synapse-bt-1.0-r4.apk | 1.1 MiB | 2023-05-24 07:48:27 |
circuslinux-data-1.0.3-r1.apk | 1.1 MiB | 2021-12-05 01:04:35 |
solanum-3.0.1_git20220607-r1.apk | 1.1 MiB | 2023-02-13 14:23:31 |
pest-language-server-0.3.9-r0.apk | 1.1 MiB | 2024-04-08 20:23:25 |
satty-0.12.0-r0.apk | 1.1 MiB | 2024-04-05 01:42:56 |
makeclapman-2.4.1-r0.apk | 1.1 MiB | 2024-05-09 13:42:45 |
kismet-logtools-0.202307.1-r2.apk | 1.1 MiB | 2023-11-15 14:38:30 |
ocaml-obuild-0.1.11-r0.apk | 1.1 MiB | 2024-03-24 02:12:21 |
py3-sphinx-theme-bootstrap-0.8.1-r3.apk | 1.2 MiB | 2024-04-15 21:52:02 |
limnoria-pyc-20220927-r3.apk | 1.2 MiB | 2024-04-15 21:51:19 |
ocaml-zed-dev-3.1.0-r3.apk | 1.2 MiB | 2024-03-24 02:12:53 |
orage-lang-4.18.0-r0.apk | 1.2 MiB | 2023-02-23 22:59:54 |
speedcrunch-0.12-r3.apk | 1.2 MiB | 2023-02-16 06:38:18 |
ouch-0.5.1-r0.apk | 1.2 MiB | 2023-12-24 18:42:43 |
poke-4.0-r0.apk | 1.2 MiB | 2024-04-25 21:06:45 |
watchbind-0.2.1-r0.apk | 1.2 MiB | 2024-01-22 11:35:07 |
py3-nikola-8.3.0-r2.apk | 1.2 MiB | 2024-04-15 21:51:55 |
lsd-1.1.1-r0.apk | 1.2 MiB | 2024-03-25 23:03:45 |
meowdict-0.10.5-r0.apk | 1.2 MiB | 2024-04-28 06:12:22 |
dewduct-0.2.1-r0.apk | 1.2 MiB | 2024-04-30 06:32:10 |
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk | 1.2 MiB | 2024-03-24 02:12:27 |
mypaint-pyc-2.0.1-r0.apk | 1.2 MiB | 2023-04-23 06:02:26 |
sqlmap-pyc-1.8.4-r0.apk | 1.2 MiB | 2024-04-15 13:56:39 |
quodlibet-lang-4.6.0-r1.apk | 1.2 MiB | 2024-04-15 21:52:06 |
wlroots0.15-dbg-0.15.1-r6.apk | 1.2 MiB | 2023-07-03 16:21:47 |
lazymc-0.2.11-r0.apk | 1.2 MiB | 2024-03-24 16:10:55 |
ginac-1.8.7-r1.apk | 1.2 MiB | 2024-01-28 13:57:51 |
ocp-indent-1.8.2-r2.apk | 1.2 MiB | 2024-03-24 02:12:55 |
nicotine-plus-3.3.2-r1.apk | 1.2 MiB | 2024-04-15 21:51:20 |
eclib-libs-20231212-r1.apk | 1.2 MiB | 2024-04-22 18:58:09 |
ecasound-dev-2.9.3-r3.apk | 1.2 MiB | 2023-09-25 19:27:14 |
turn-rs-2.1.3-r0.apk | 1.2 MiB | 2024-04-18 14:04:17 |
mypaint-lang-2.0.1-r0.apk | 1.2 MiB | 2023-04-23 06:02:26 |
ocfs2-tools-1.8.7-r2.apk | 1.2 MiB | 2023-05-15 20:51:53 |
frescobaldi-pyc-3.3.0-r1.apk | 1.2 MiB | 2024-04-15 21:50:56 |
cln-dev-1.3.7-r0.apk | 1.2 MiB | 2024-01-28 13:57:51 |
tailspin-3.0.0-r0.apk | 1.2 MiB | 2024-02-03 22:36:14 |
cargo-machete-0.6.2-r0.apk | 1.2 MiB | 2024-03-24 16:10:55 |
compiz-lang-0.9.14.2-r4.apk | 1.2 MiB | 2024-04-22 18:58:08 |
repgrep-0.15.0-r0.apk | 1.2 MiB | 2024-01-04 19:32:03 |
ocaml-lwt-5.7.0-r0.apk | 1.2 MiB | 2024-03-24 02:12:17 |
elementary-photos-2.8.0-r1.apk | 1.2 MiB | 2023-04-29 21:47:14 |
pegasus-frontend-13_alpha-r2.apk | 1.2 MiB | 2023-05-05 04:34:52 |
octoprint-pyc-1.10.0-r0.apk | 1.2 MiB | 2024-04-25 01:28:51 |
dstask-0.26-r6.apk | 1.2 MiB | 2024-04-07 00:47:03 |
yaru-icon-theme-mate-23.10.0-r0.apk | 1.2 MiB | 2024-04-18 02:08:46 |
ocaml-tcpip-7.1.2-r3.apk | 1.2 MiB | 2024-03-24 02:12:50 |
libntl-11.5.1-r3.apk | 1.2 MiB | 2023-08-01 12:38:03 |
ocaml-uunf-14.0.0-r2.apk | 1.3 MiB | 2024-04-22 06:21:47 |
rime-ls-0.3.0-r0.apk | 1.3 MiB | 2024-05-09 03:06:13 |
ocaml-tls-0.15.3-r4.apk | 1.3 MiB | 2024-03-24 02:12:50 |
baikal-0.9.5-r0.apk | 1.3 MiB | 2024-03-20 21:46:38 |
prjtrellis-1.4-r2.apk | 1.3 MiB | 2024-04-22 18:59:10 |
jaq-1.3.0-r0.apk | 1.3 MiB | 2024-02-05 06:03:44 |
xcaddy-0.4.1-r0.apk | 1.3 MiB | 2024-05-09 03:06:13 |
py3-pyglm-2.7.1-r0.apk | 1.3 MiB | 2023-10-31 11:09:03 |
font-raleway-otf-4.101-r1.apk | 1.3 MiB | 2021-11-23 23:57:17 |
elementary-photos-lang-2.8.0-r1.apk | 1.3 MiB | 2023-04-29 21:47:14 |
swig3-3.0.12-r3.apk | 1.3 MiB | 2024-04-22 18:59:12 |
nextpnr-gowin-0.6-r2.apk | 1.3 MiB | 2024-04-22 18:58:44 |
htslib-tools-1.19-r0.apk | 1.3 MiB | 2023-12-12 17:44:58 |
legume-1.4.2-r1.apk | 1.3 MiB | 2024-04-07 00:49:11 |
noson-app-5.4.1-r1.apk | 1.3 MiB | 2023-08-28 12:01:23 |
hctl-0.2.6-r0.apk | 1.3 MiB | 2024-03-04 22:31:36 |
ijq-1.1.0-r0.apk | 1.3 MiB | 2024-04-15 11:46:32 |
ckb-next-0.6.0-r1.apk | 1.3 MiB | 2023-07-19 19:11:13 |
ocaml-uucp-dev-14.0.0-r2.apk | 1.3 MiB | 2024-04-22 06:21:47 |
singular-doc-4.3.2-r2.apk | 1.3 MiB | 2023-08-01 12:38:06 |
listenbrainz-mpd-2.3.5-r0.apk | 1.3 MiB | 2024-04-24 06:11:43 |
kgraphviewer-2.5.0-r0.apk | 1.3 MiB | 2024-05-09 03:06:11 |
libabigail-dev-2.3-r0.apk | 1.3 MiB | 2023-05-04 07:46:59 |
beancount-language-server-1.3.4-r0.apk | 1.3 MiB | 2024-02-05 23:54:31 |
primesieve-dev-12.3-r0.apk | 1.3 MiB | 2024-05-01 17:13:11 |
ocaml-cohttp-dev-5.3.1-r0.apk | 1.3 MiB | 2024-04-22 06:21:30 |
ocaml-extlib-dev-1.7.9-r2.apk | 1.3 MiB | 2024-03-24 02:12:08 |
lutgen-0.10.0-r0.apk | 1.3 MiB | 2024-04-01 19:32:32 |
ocaml-erm_xmpp-0_git20220404-r2.apk | 1.3 MiB | 2024-04-22 06:21:33 |
ocaml-ppx_deriving-dev-5.3.0-r0.apk | 1.3 MiB | 2024-03-24 02:12:27 |
xfe-1.46.1-r0.apk | 1.3 MiB | 2024-03-05 00:39:42 |
ocaml-camomile-1.0.2-r3.apk | 1.3 MiB | 2024-03-24 02:11:55 |
gtksourceviewmm3-doc-3.21.3-r2.apk | 1.3 MiB | 2023-04-15 23:17:16 |
sblg-doc-0.5.11-r0.apk | 1.3 MiB | 2023-02-06 19:24:11 |
pulsar-client-cpp-3.1.2-r4.apk | 1.3 MiB | 2024-04-22 18:59:10 |
lomiri-ui-toolkit-1.3.5100-r0.apk | 1.3 MiB | 2024-03-23 00:02:52 |
libigraph-0.10.11-r0.apk | 1.3 MiB | 2024-04-10 23:39:34 |
librespot-0.4.2-r4.apk | 1.4 MiB | 2023-08-01 04:56:01 |
mapserver-8.0.1-r3.apk | 1.4 MiB | 2024-05-06 10:20:28 |
ocaml-uuseg-tools-14.0.0-r2.apk | 1.4 MiB | 2024-04-22 06:21:47 |
dcmtk-3.6.8-r0.apk | 1.4 MiB | 2024-01-13 23:09:39 |
radio-cli-2.3.1-r0.apk | 1.4 MiB | 2024-01-25 20:24:19 |
keystone-0.9.2-r6.apk | 1.4 MiB | 2024-04-15 21:51:04 |
lout-3.42.2-r0.apk | 1.4 MiB | 2023-06-12 21:35:42 |
fcitx5-table-other-5.1.2-r0.apk | 1.4 MiB | 2024-05-10 03:39:49 |
ocaml-qcheck-dev-0.18.1-r3.apk | 1.4 MiB | 2024-03-24 02:12:36 |
lizardfs-client-3.13.0-r13.apk | 1.4 MiB | 2024-04-22 18:58:30 |
hyprland-0.39.1-r0.apk | 1.4 MiB | 2024-04-23 08:03:24 |
mage-1.13.0-r15.apk | 1.4 MiB | 2024-04-07 00:49:27 |
iir1-doc-1.9.4-r0.apk | 1.4 MiB | 2023-01-08 08:35:07 |
ocaml-uri-4.2.0-r2.apk | 1.4 MiB | 2024-03-24 02:12:51 |
genact-1.4.2-r0.apk | 1.4 MiB | 2024-02-20 21:51:34 |
youtube-tui-0.8.0-r0.apk | 1.4 MiB | 2023-10-26 13:55:57 |
tartube-2.5.0-r0.apk | 1.4 MiB | 2024-01-11 22:04:30 |
gtksourceviewmm4-doc-3.91.1-r2.apk | 1.4 MiB | 2023-04-15 23:18:06 |
hfst-3.16.0-r2.apk | 1.4 MiB | 2024-04-15 21:50:57 |
fheroes2-lang-1.0.13-r0.apk | 1.4 MiB | 2024-03-16 21:34:35 |
mame-lang-0.251-r0.apk | 1.4 MiB | 2023-02-22 11:07:50 |
gimp-plugin-gmic-3.3.5-r0.apk | 1.4 MiB | 2024-04-26 13:48:29 |
wl-gammarelay-0.1.1-r6.apk | 1.4 MiB | 2024-04-07 00:52:09 |
rathole-0.5.0-r0.apk | 1.4 MiB | 2023-10-05 22:20:49 |
pomo-0.8.1-r15.apk | 1.5 MiB | 2024-04-07 00:50:05 |
raspberrypi-usbboot-20210701-r2.apk | 1.5 MiB | 2023-02-03 07:19:55 |
nwg-bar-0.1.6-r2.apk | 1.5 MiB | 2024-04-07 00:49:57 |
chamo-byte-4.0-r0.apk | 1.5 MiB | 2024-04-22 06:21:24 |
eboard-1.1.3-r1.apk | 1.5 MiB | 2023-07-29 20:02:42 |
goxel-0.12.0-r0.apk | 1.5 MiB | 2023-04-03 02:52:12 |
libwmiclient-1.3.16-r4.apk | 1.5 MiB | 2022-11-02 08:47:58 |
font-andika-6.200-r0.apk | 1.5 MiB | 2024-04-28 19:35:49 |
mailutils-mh-3.17-r0.apk | 1.5 MiB | 2024-01-19 17:51:56 |
cargo-update-13.4.0-r0.apk | 1.5 MiB | 2024-05-05 05:24:51 |
fheroes2-1.0.13-r0.apk | 1.5 MiB | 2024-03-16 21:34:35 |
mkcert-1.4.4-r11.apk | 1.5 MiB | 2024-04-07 00:49:50 |
freshrss-themes-1.23.1-r1.apk | 1.5 MiB | 2024-03-18 06:44:28 |
perl-minion-10.29-r0.apk | 1.5 MiB | 2024-03-20 11:52:02 |
ndpi-4.8-r0.apk | 1.5 MiB | 2023-10-24 06:35:26 |
openocd-riscv-0_git20230104-r1.apk | 1.5 MiB | 2023-05-04 07:47:18 |
xsane-0.999-r1.apk | 1.5 MiB | 2022-12-16 07:48:46 |
freshrss-1.23.1-r1.apk | 1.5 MiB | 2024-03-18 06:44:28 |
ocaml-sedlex-dev-3.2-r0.apk | 1.5 MiB | 2024-03-24 02:12:49 |
oil-0.21.0-r0.apk | 1.5 MiB | 2024-03-15 23:09:46 |
spike-1.1.0-r0.apk | 1.5 MiB | 2023-03-28 17:56:35 |
pcl-dev-1.14.0-r1.apk | 1.5 MiB | 2024-04-22 18:59:04 |
kjv-0_git20221103-r0.apk | 1.5 MiB | 2023-09-25 04:50:46 |
nwg-dock-0.3.9-r3.apk | 1.5 MiB | 2024-04-07 00:49:58 |
mint-y-theme-gtk4-2.1.1-r0.apk | 1.6 MiB | 2023-06-12 15:01:22 |
wiki-tui-0.8.2-r0.apk | 1.6 MiB | 2023-08-12 06:07:43 |
voikko-fi-2.5-r0.apk | 1.6 MiB | 2023-06-13 17:29:07 |
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk | 1.6 MiB | 2024-03-24 02:12:15 |
py3-tokenizers-0.15.2-r1.apk | 1.6 MiB | 2024-04-15 21:52:04 |
font-raleway-ttf-4.101-r1.apk | 1.6 MiB | 2021-11-23 23:57:17 |
amule-lang-2.3.3-r13.apk | 1.6 MiB | 2024-04-22 18:58:06 |
xmpp-dns-0.2.4-r16.apk | 1.6 MiB | 2024-04-07 00:52:28 |
ocaml-x509-dev-0.16.0-r2.apk | 1.6 MiB | 2024-03-24 02:12:52 |
drogon-1.9.4-r0.apk | 1.6 MiB | 2024-05-05 21:25:55 |
ocaml-labltk-dev-8.06.12-r2.apk | 1.6 MiB | 2024-03-24 02:12:16 |
scap-workbench-doc-1.2.1-r2.apk | 1.6 MiB | 2023-02-13 14:25:35 |
seastar-22.11.0_git20240315-r2.apk | 1.6 MiB | 2024-04-02 07:53:30 |
ffsend-0.2.76-r4.apk | 1.6 MiB | 2023-07-01 05:24:56 |
ocaml-ca-certs-nss-tools-3.89.1-r1.apk | 1.6 MiB | 2024-03-24 02:11:55 |
armagetronad-0.2.9.1.1-r0.apk | 1.6 MiB | 2024-02-12 23:12:35 |
seed7-doc-05.20240322-r0.apk | 1.6 MiB | 2024-03-24 13:31:52 |
antibody-6.1.1-r20.apk | 1.6 MiB | 2024-04-07 00:45:35 |
so-0.4.9-r1.apk | 1.6 MiB | 2023-05-24 07:48:26 |
yubikey-agent-0.1.6-r4.apk | 1.6 MiB | 2024-04-07 00:52:34 |
keystone-python-0.9.2-r6.apk | 1.6 MiB | 2024-04-15 21:51:04 |
runst-0.1.7-r0.apk | 1.6 MiB | 2024-03-28 20:31:06 |
openocd-git-0_git20240113-r0.apk | 1.6 MiB | 2024-01-17 08:39:43 |
libsemigroups-static-2.7.3-r0.apk | 1.6 MiB | 2024-01-22 06:23:11 |
boinc-7.24.3-r0.apk | 1.6 MiB | 2024-02-22 01:03:47 |
aqemu-0.9.4-r3.apk | 1.6 MiB | 2023-04-08 10:53:40 |
dcmtk-dev-3.6.8-r0.apk | 1.6 MiB | 2024-01-13 23:09:39 |
igrep-1.2.0-r0.apk | 1.7 MiB | 2023-08-09 15:40:58 |
strfry-0.9.6-r0.apk | 1.7 MiB | 2024-01-25 17:01:41 |
noggin-model-lightweight-0.1-r0.apk | 1.7 MiB | 2023-06-01 00:19:34 |
libretro-beetle-saturn-0_git20220417-r0.apk | 1.7 MiB | 2022-04-21 10:27:31 |
ocaml-erm_xmpp-dev-0_git20220404-r2.apk | 1.7 MiB | 2024-04-22 06:21:33 |
brial-dev-1.2.11-r3.apk | 1.7 MiB | 2024-04-22 18:58:06 |
materia-kde-plasma-20220823-r0.apk | 1.7 MiB | 2023-03-19 22:42:03 |
ocaml5-ocamldoc-5.1.1-r0.apk | 1.7 MiB | 2023-12-07 16:13:09 |
libarb-2.23.0-r2.apk | 1.7 MiB | 2023-08-01 12:38:02 |
protoc-gen-go-1.34.0-r0.apk | 1.7 MiB | 2024-05-01 19:27:12 |
fplll-strategies-5.4.5-r0.apk | 1.7 MiB | 2023-10-22 08:13:04 |
ocaml-yojson-dev-2.1.2-r0.apk | 1.7 MiB | 2024-03-24 02:12:52 |
ocaml-omake-0.10.6-r0.apk | 1.7 MiB | 2024-03-24 02:12:23 |
apmpkg-1.5.1-r3.apk | 1.7 MiB | 2023-07-01 05:24:44 |
openocd-esp32-0_git20230921-r4.apk | 1.7 MiB | 2023-12-05 20:06:26 |
asteroid-launcher-2.0.0-r0.apk | 1.7 MiB | 2023-08-31 09:43:21 |
hfst-libs-3.16.0-r2.apk | 1.7 MiB | 2024-04-15 21:50:58 |
mir-2.15.0-r1.apk | 1.7 MiB | 2024-04-22 18:58:32 |
gmic-qt-3.3.5-r0.apk | 1.8 MiB | 2024-04-26 13:48:32 |
watershot-0.2.0-r0.apk | 1.8 MiB | 2023-07-04 00:01:49 |
libmedc-python-4.1.1-r3.apk | 1.8 MiB | 2024-05-05 05:25:00 |
visurf-0.0.0_git20220301-r1.apk | 1.8 MiB | 2022-08-04 06:46:40 |
percona-toolkit-3.5.4-r0.apk | 1.8 MiB | 2023-08-13 16:27:51 |
php83-pecl-phalcon-5.6.2-r0.apk | 1.8 MiB | 2024-03-14 22:32:48 |
php82-pecl-phalcon-5.6.2-r0.apk | 1.8 MiB | 2024-03-14 22:32:48 |
py3-litex-hub-pythondata-cpu-ibex-2023.12-r4.apk | 1.8 MiB | 2024-04-15 21:51:44 |
gfan-0.6.2-r1.apk | 1.8 MiB | 2023-08-01 12:38:01 |
srb2-2.2.13-r0.apk | 1.8 MiB | 2023-11-22 09:59:51 |
tintin-2.02.31-r0.apk | 1.8 MiB | 2023-08-26 11:00:46 |
libntl-static-11.5.1-r3.apk | 1.8 MiB | 2023-08-01 12:38:03 |
quodlibet-pyc-4.6.0-r1.apk | 1.8 MiB | 2024-04-15 21:52:06 |
simgear-2020.3.19-r1.apk | 1.8 MiB | 2024-04-22 18:59:11 |
fox-dev-1.6.57-r0.apk | 1.8 MiB | 2022-08-08 10:58:09 |
mint-y-theme-gtk3-2.1.1-r0.apk | 1.8 MiB | 2023-06-12 15:01:22 |
ergo-ldap-0.0.1-r9.apk | 1.8 MiB | 2024-04-07 00:47:07 |
homebank-5.7.4-r0.apk | 1.8 MiB | 2024-02-18 20:23:15 |
satellite-1.0.0-r20.apk | 1.8 MiB | 2024-04-07 00:50:39 |
daktilo-0.6.0-r0.apk | 1.8 MiB | 2024-04-11 10:47:24 |
9base-6-r1.apk | 1.8 MiB | 2022-01-27 18:56:59 |
grcov-0.8.19-r1.apk | 1.8 MiB | 2023-09-11 14:52:04 |
ocaml-iri-1.0.0-r0.apk | 1.8 MiB | 2024-04-22 06:21:39 |
php81-embed-8.1.28-r0.apk | 1.8 MiB | 2024-04-10 23:39:35 |
chocolate-doom-3.0.1-r3.apk | 1.9 MiB | 2023-02-13 14:23:29 |
php81-apache2-8.1.28-r0.apk | 1.9 MiB | 2024-04-10 23:39:34 |
php81-cgi-8.1.28-r0.apk | 1.9 MiB | 2024-04-10 23:39:34 |
gutenprint-lang-5.3.4-r3.apk | 1.9 MiB | 2023-05-21 17:06:52 |
php81-litespeed-8.1.28-r0.apk | 1.9 MiB | 2024-04-10 23:39:35 |
sc-controller-0.4.8.13-r0.apk | 1.9 MiB | 2024-02-03 21:28:49 |
php81-8.1.28-r0.apk | 1.9 MiB | 2024-04-10 23:39:34 |
cadence-0.9.2-r0.apk | 1.9 MiB | 2022-10-09 15:23:12 |
edward-1.0.1-r1.apk | 1.9 MiB | 2023-12-18 15:22:27 |
codeberg-cli-0.4.0-r0.apk | 1.9 MiB | 2024-05-02 23:12:32 |
vidcutter-pyc-6.0.5.1-r5.apk | 1.9 MiB | 2023-04-22 10:54:28 |
trippy-0.10.0-r0.apk | 1.9 MiB | 2024-04-05 22:46:55 |
py3-pivy-0.6.9_alpha0-r0.apk | 1.9 MiB | 2024-04-19 00:15:27 |
spotify-tui-0.25.0-r2.apk | 1.9 MiB | 2023-05-24 07:48:26 |
crosstool-ng-1.25.0-r0.apk | 1.9 MiB | 2022-12-30 12:27:34 |
py3-litex-hub-pythondata-cpu-cv32e40p-2023.12-r4.apk | 1.9 MiB | 2024-04-15 21:51:41 |
php81-phpdbg-8.1.28-r0.apk | 1.9 MiB | 2024-04-10 23:39:36 |
php81-fpm-8.1.28-r0.apk | 1.9 MiB | 2024-04-10 23:39:35 |
tachyon-scenes-0.99_beta6-r1.apk | 1.9 MiB | 2024-03-29 11:24:21 |
crispy-doom-6.0-r0.apk | 1.9 MiB | 2023-03-31 22:56:02 |
qml-asteroid-dbg-2.0.0-r0.apk | 1.9 MiB | 2023-08-31 09:43:23 |
gmsh-doc-4.12.2-r1.apk | 1.9 MiB | 2024-04-21 23:52:37 |
ocaml-atd-dev-2.15.0-r0.apk | 1.9 MiB | 2024-04-22 06:21:29 |
certstrap-1.3.0-r15.apk | 2.0 MiB | 2024-04-07 00:45:50 |
openfpgaloader-0.11.0-r0.apk | 2.0 MiB | 2023-10-21 08:35:43 |
glslviewer-3.2.4-r0.apk | 2.0 MiB | 2023-11-13 21:12:02 |
ocaml-menhir-20220210-r2.apk | 2.0 MiB | 2024-03-24 02:12:19 |
goreman-0.3.15-r5.apk | 2.0 MiB | 2024-04-07 00:47:40 |
bootloose-0.7.1-r3.apk | 2.0 MiB | 2024-04-07 00:45:41 |
font-monaspace-krypton-1.000-r0.apk | 2.0 MiB | 2023-11-11 16:12:31 |
jackdaw-0.3.1-r1.apk | 2.0 MiB | 2024-04-15 21:51:03 |
virtualgl-3.1-r0.apk | 2.0 MiB | 2023-06-20 21:32:50 |
hitide-0.15.0-r0.apk | 2.0 MiB | 2024-03-29 01:23:23 |
tui-journal-0.8.0-r0.apk | 2.0 MiB | 2024-02-10 02:51:41 |
fox-doc-1.6.57-r0.apk | 2.0 MiB | 2022-08-08 10:58:10 |
prettier-3.2.5-r0.apk | 2.0 MiB | 2024-02-05 23:59:11 |
authenticator-rs-0.7.5-r0.apk | 2.0 MiB | 2023-05-29 21:10:53 |
font-stix-otf-2.13-r0.apk | 2.0 MiB | 2024-02-23 02:13:22 |
xed-lang-3.4.5-r0.apk | 2.0 MiB | 2024-01-08 09:44:44 |
font-monaspace-neon-1.000-r0.apk | 2.1 MiB | 2023-11-11 16:12:31 |
empede-0.2.3-r0.apk | 2.1 MiB | 2024-01-07 01:53:34 |
apk-tools3-static-3.0.0_pre2_git20240401-r0.apk | 2.1 MiB | 2024-04-02 19:48:16 |
convert2json-0.8.2-r0.apk | 2.1 MiB | 2024-04-15 21:50:55 |
secsipidx-libs-1.3.2-r4.apk | 2.1 MiB | 2024-04-07 00:50:45 |
swi-prolog-doc-9.2.4-r0.apk | 2.1 MiB | 2024-04-29 01:03:31 |
ocaml5-runtime-5.1.1-r0.apk | 2.1 MiB | 2023-12-07 16:13:09 |
reaction-1.3.0-r2.apk | 2.1 MiB | 2024-04-07 00:50:26 |
cargo-generate-0.20.0-r0.apk | 2.1 MiB | 2024-04-01 19:42:25 |
teapot-tools-0.4.2-r2.apk | 2.1 MiB | 2024-04-15 14:26:32 |
font-monaspace-argon-1.000-r0.apk | 2.1 MiB | 2023-11-11 16:12:31 |
prjtrellis-db-ecp5-0_git20230929-r0.apk | 2.1 MiB | 2024-01-12 02:24:43 |
lomiri-location-service-3.1.0-r0.apk | 2.1 MiB | 2023-11-14 01:08:39 |
docker-volume-local-persist-1.3.0-r25.apk | 2.1 MiB | 2024-04-07 00:46:50 |
cocogitto-6.1.0-r0.apk | 2.1 MiB | 2024-03-15 12:15:31 |
hashcat-doc-6.2.6-r0.apk | 2.1 MiB | 2022-09-04 23:50:21 |
bitritter-0_git20240415-r0.apk | 2.2 MiB | 2024-04-19 04:36:28 |
gotestsum-1.11.0-r2.apk | 2.2 MiB | 2024-04-07 00:47:43 |
ocaml-markup-dev-1.0.3-r3.apk | 2.2 MiB | 2024-03-24 02:12:19 |
icingaweb2-module-businessprocess-doc-2.4.0-r0.apk | 2.2 MiB | 2022-08-15 15:56:43 |
gron-0.7.1-r16.apk | 2.2 MiB | 2024-04-07 00:47:44 |
rizin-cutter-2.3.2-r2.apk | 2.2 MiB | 2024-04-16 16:48:49 |
kak-lsp-15.0.1-r0.apk | 2.2 MiB | 2024-01-03 18:10:46 |
hpnssh-18.4.1-r0.apk | 2.2 MiB | 2024-05-07 19:58:26 |
pitivi-2023.03-r1.apk | 2.2 MiB | 2024-04-16 16:11:02 |
netsurf-3.11-r0.apk | 2.2 MiB | 2024-02-03 13:34:22 |
vile-9.8z-r0.apk | 2.2 MiB | 2024-01-26 02:26:59 |
py3-litex-hub-pythondata-software-compiler_rt-2023.12-r4.apk | 2.2 MiB | 2024-04-15 21:51:53 |
aports-glmr-0.2-r20.apk | 2.2 MiB | 2024-04-07 00:45:35 |
libretro-ppsspp-0_git20210516-r12.apk | 2.2 MiB | 2023-07-03 16:21:45 |
tty-proxy-0.0.2-r20.apk | 2.2 MiB | 2024-04-07 00:51:40 |
tangctl-0_git20220412-r15.apk | 2.2 MiB | 2024-04-07 00:51:07 |
mailsec-check-0_git20210729-r18.apk | 2.2 MiB | 2024-04-07 00:49:28 |
font-monaspace-xenon-1.000-r0.apk | 2.3 MiB | 2023-11-11 16:12:32 |
libarb-static-2.23.0-r2.apk | 2.3 MiB | 2023-08-01 12:38:02 |
tmpl-0.4.0-r3.apk | 2.3 MiB | 2024-04-07 00:51:22 |
ocaml-dns-6.2.2-r3.apk | 2.3 MiB | 2024-03-24 02:12:02 |
kind-0.22.0-r2.apk | 2.3 MiB | 2024-04-07 00:48:47 |
guetzli-dev-0_git20191025-r1.apk | 2.3 MiB | 2022-11-02 08:47:17 |
k3sup-0.13.5-r2.apk | 2.3 MiB | 2024-04-07 00:48:25 |
secsipidx-1.3.2-r4.apk | 2.3 MiB | 2024-04-07 00:50:44 |
rcon-cli-1.6.2-r3.apk | 2.3 MiB | 2024-04-07 00:50:25 |
htmldoc-1.9.18-r0.apk | 2.3 MiB | 2024-02-12 10:51:42 |
aparte-0.2.0_git20240324-r0.apk | 2.3 MiB | 2024-04-01 18:09:56 |
sipexer-1.1.0-r5.apk | 2.4 MiB | 2024-04-07 00:50:47 |
sos-0.8-r23.apk | 2.4 MiB | 2024-04-07 00:50:53 |
libmdbx-dbg-0.11.8-r0.apk | 2.4 MiB | 2022-07-02 04:27:54 |
twinkle-1.10.3-r2.apk | 2.4 MiB | 2023-04-29 21:47:36 |
monetdb-11.33.11-r4.apk | 2.4 MiB | 2023-04-29 21:47:29 |
rage-0.9.2-r1.apk | 2.4 MiB | 2023-07-01 05:25:16 |
musikcube-3.0.2-r1.apk | 2.4 MiB | 2023-12-13 20:21:34 |
py3-litex-hub-pythondata-cpu-vexriscv_smp-2023.12-r4.apk | 2.4 MiB | 2024-04-15 21:51:52 |
ocaml-tls-dev-0.15.3-r4.apk | 2.4 MiB | 2024-03-24 02:12:51 |
dlib-dev-19.24.4-r0.apk | 2.4 MiB | 2024-04-02 08:34:28 |
py3-sphinx-theme-guzzle-0.7.11-r6.apk | 2.4 MiB | 2024-04-15 21:52:03 |
wayfire-0.8.1-r0.apk | 2.4 MiB | 2024-03-15 06:19:31 |
dosbox-staging-0.81.0-r0.apk | 2.4 MiB | 2024-02-16 11:15:25 |
watchmate-0.5.1-r1.apk | 2.5 MiB | 2023-12-19 16:08:44 |
ntfy-alertmanager-0.3.0-r0.apk | 2.5 MiB | 2024-04-18 00:20:40 |
py3-seqdiag-3.0.0-r5.apk | 2.5 MiB | 2024-04-15 21:52:02 |
m17n-db-1.8.5-r0.apk | 2.5 MiB | 2023-11-23 21:18:55 |
hub-2.14.2-r22.apk | 2.5 MiB | 2024-04-07 00:48:04 |
consul-replicate-0.4.0-r23.apk | 2.5 MiB | 2024-04-07 00:46:13 |
wmi-client-1.3.16-r4.apk | 2.5 MiB | 2022-11-02 08:49:38 |
ocaml-tcpip-dev-7.1.2-r3.apk | 2.5 MiB | 2024-03-24 02:12:50 |
pipeline-1.14.5-r0.apk | 2.5 MiB | 2024-02-24 12:27:07 |
libgdcm-3.0.23-r2.apk | 2.5 MiB | 2024-04-15 21:51:06 |
py3-swagger-ui-bundle-1.1.0-r1.apk | 2.5 MiB | 2024-04-15 21:52:03 |
butane-0.19.0-r3.apk | 2.5 MiB | 2024-04-07 00:45:47 |
normaliz-libs-3.10.2-r1.apk | 2.5 MiB | 2024-04-22 18:59:03 |
py3-blockdiag-tests-3.0.0-r4.apk | 2.5 MiB | 2024-04-15 21:51:29 |
cherrytree-1.1.2-r0.apk | 2.5 MiB | 2024-04-09 23:14:07 |
msh-2.5.0-r4.apk | 2.5 MiB | 2024-04-07 00:49:51 |
pnpm-9.1.0-r0.apk | 2.5 MiB | 2024-05-09 03:06:12 |
copyq-8.0.0-r0.apk | 2.5 MiB | 2024-03-22 07:47:06 |
ocaml-camomile-dev-1.0.2-r3.apk | 2.5 MiB | 2024-03-24 02:11:57 |
gtkwave-3.3.117-r0.apk | 2.5 MiB | 2023-11-16 21:06:31 |
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk | 2.6 MiB | 2024-03-24 02:12:10 |
grommunio-gromox-2.27-r0.apk | 2.6 MiB | 2024-04-26 06:32:53 |
font-twemoji-15.0.3-r0.apk | 2.7 MiB | 2024-01-30 15:00:13 |
font-monaspace-radon-1.000-r0.apk | 2.7 MiB | 2023-11-11 16:12:32 |
py3-language-data-pyc-1.1-r1.apk | 2.7 MiB | 2024-04-15 21:51:38 |
rizin-0.6.3-r0.apk | 2.7 MiB | 2023-10-18 15:52:48 |
recoll-1.37.5-r1.apk | 2.7 MiB | 2024-04-15 21:52:07 |
hurl-4.3.0-r0.apk | 2.7 MiB | 2024-05-05 05:24:56 |
yices2-2.6.4-r0.apk | 2.7 MiB | 2023-02-10 05:17:18 |
lyrebird-0.1.0-r4.apk | 2.7 MiB | 2024-04-07 00:49:25 |
vidcutter-6.0.5.1-r5.apk | 2.8 MiB | 2023-04-22 10:54:28 |
sgt-puzzles-0_git20230310-r1.apk | 2.8 MiB | 2023-07-04 00:01:48 |
jsonnet-bundler-0.5.1-r12.apk | 2.8 MiB | 2024-04-07 00:48:23 |
primecount-dev-7.13-r0.apk | 2.8 MiB | 2024-05-01 17:13:11 |
vym-2.9.26-r0.apk | 2.8 MiB | 2023-12-23 01:35:10 |
krita-plugin-gmic-3.2.4.1-r3.apk | 2.8 MiB | 2024-03-23 13:04:42 |
dockerize-0.7.0-r5.apk | 2.8 MiB | 2024-04-07 00:46:51 |
ocaml-omod-bin-0.0.3-r3.apk | 2.9 MiB | 2024-03-24 02:12:24 |
links-graphics-2.29-r1.apk | 2.9 MiB | 2024-04-18 00:02:21 |
ocaml-labltk-8.06.12-r2.apk | 2.9 MiB | 2024-03-24 02:12:16 |
innernet-1.6.1-r0.apk | 2.9 MiB | 2024-02-23 19:45:55 |
mcman-0.4.4-r0.apk | 2.9 MiB | 2024-02-08 19:37:32 |
gdb-xtensa-esp8266-elf-13.2-r2.apk | 2.9 MiB | 2024-04-16 16:11:00 |
gdb-xtensa-esp32s2-elf-13.2-r2.apk | 2.9 MiB | 2024-04-16 16:11:00 |
fceux-2.6.6-r1.apk | 2.9 MiB | 2023-12-13 20:21:31 |
gdb-xtensa-esp32-elf-13.2-r2.apk | 2.9 MiB | 2024-04-16 16:10:59 |
rustic-0.6.1-r1.apk | 2.9 MiB | 2024-01-08 09:44:33 |
ocaml-mirage-crypto-dev-0.10.6-r3.apk | 2.9 MiB | 2024-03-24 02:12:20 |
mame-tools-0.251-r0.apk | 2.9 MiB | 2023-02-22 11:07:55 |
gdb-xtensa-esp32s3-elf-13.2-r2.apk | 2.9 MiB | 2024-04-16 16:11:00 |
mailutils-dev-3.17-r0.apk | 2.9 MiB | 2024-01-19 17:51:56 |
netsurf-framebuffer-3.11-r0.apk | 3.0 MiB | 2024-02-03 13:34:23 |
suru-icon-theme-20.05.1_git20221222-r0.apk | 3.0 MiB | 2023-12-17 21:24:39 |
dstask-import-0.26-r6.apk | 3.0 MiB | 2024-04-07 00:47:04 |
sing-geoip-20231212-r0.apk | 3.0 MiB | 2023-12-13 17:19:37 |
ripasso-cursive-0.6.5-r0.apk | 3.0 MiB | 2023-07-09 21:31:29 |
khinsider-2.0.7-r12.apk | 3.0 MiB | 2024-04-07 00:48:46 |
noson-dbg-2.10.3-r0.apk | 3.0 MiB | 2023-06-10 15:23:43 |
ocaml-gitlab-0.1.8-r0.apk | 3.0 MiB | 2024-04-22 06:21:34 |
octoprint-1.10.0-r0.apk | 3.1 MiB | 2024-04-25 01:28:51 |
gobuster-3.6.0-r4.apk | 3.1 MiB | 2024-04-07 00:47:39 |
maildir2rss-0.0.2-r4.apk | 3.1 MiB | 2024-04-07 00:49:27 |
kapow-0.7.1-r5.apk | 3.1 MiB | 2024-04-07 00:48:39 |
hilbish-2.2.3-r0.apk | 3.1 MiB | 2024-05-04 09:17:25 |
gomp-1.0.0-r4.apk | 3.1 MiB | 2024-04-07 00:47:40 |
linphone-libs-5.3.38-r0.apk | 3.1 MiB | 2024-04-13 17:05:21 |
drawing-1.0.2-r0.apk | 3.2 MiB | 2023-03-06 13:04:35 |
alpine-lift-0.2.0-r15.apk | 3.2 MiB | 2024-04-07 00:45:34 |
ocaml-lwt-dev-5.7.0-r0.apk | 3.2 MiB | 2024-03-24 02:12:18 |
certigo-1.16.0-r15.apk | 3.2 MiB | 2024-04-07 00:45:50 |
prometheus-unbound-exporter-0.4.1-r5.apk | 3.2 MiB | 2024-04-07 00:50:18 |
coin-4.0.0-r6.apk | 3.2 MiB | 2024-04-22 18:58:07 |
ruuvi-prometheus-0.1.7-r2.apk | 3.2 MiB | 2024-04-07 00:50:37 |
tty-share-2.4.0-r10.apk | 3.2 MiB | 2024-04-07 00:51:41 |
autorestic-1.8.2-r1.apk | 3.2 MiB | 2024-04-07 00:45:37 |
ghq-1.6.1-r0.apk | 3.3 MiB | 2024-05-05 05:24:56 |
emulationstation-theme-gbz35-2.11.2-r0.apk | 3.3 MiB | 2023-04-17 14:38:15 |
pcl-1.14.0-r1.apk | 3.3 MiB | 2024-04-22 18:59:04 |
oras-cli-1.1.0-r2.apk | 3.3 MiB | 2024-04-07 00:50:03 |
openttd-lang-13.4-r1.apk | 3.3 MiB | 2023-11-09 08:43:17 |
nsh-dbg-0.4.2-r1.apk | 3.3 MiB | 2023-05-24 07:48:19 |
openttd-opengfx-7.1-r0.apk | 3.3 MiB | 2021-09-25 20:16:14 |
speedtest_exporter-0.3.2-r7.apk | 3.4 MiB | 2024-04-07 00:50:56 |
comics-downloader-0.33.8-r2.apk | 3.4 MiB | 2024-04-07 00:46:09 |
betula-1.1.0-r2.apk | 3.4 MiB | 2024-04-07 00:45:39 |
vym-doc-2.9.26-r0.apk | 3.4 MiB | 2023-12-23 01:35:10 |
stardict-help-3.0.6-r6.apk | 3.4 MiB | 2023-04-29 21:47:35 |
dsnet-0.7.3-r2.apk | 3.4 MiB | 2024-04-07 00:47:03 |
openssl1.1-compat-dbg-1.1.1w-r0.apk | 3.4 MiB | 2023-09-12 11:29:35 |
frescobaldi-3.3.0-r1.apk | 3.5 MiB | 2024-04-15 21:50:56 |
pathvector-6.3.2-r5.apk | 3.5 MiB | 2024-04-07 00:50:04 |
ticker-4.5.14-r5.apk | 3.5 MiB | 2024-04-07 00:51:20 |
prometheus-ceph-exporter-4.2.3-r1.apk | 3.5 MiB | 2024-04-07 00:50:12 |
templ-0.2.663-r0.apk | 3.5 MiB | 2024-05-04 11:32:17 |
spotify-player-0.18.1-r0.apk | 3.5 MiB | 2024-05-05 05:25:01 |
grommunio-admin-web-2.9.0-r0.apk | 3.5 MiB | 2024-04-26 06:32:53 |
apollo-0.2.1-r2.apk | 3.5 MiB | 2024-04-07 00:45:35 |
wgcf-2.2.22-r0.apk | 3.5 MiB | 2024-05-04 11:23:01 |
ocaml-lambda-term-3.2.0-r4.apk | 3.6 MiB | 2024-03-24 02:12:16 |
lotide-0.15.0-r0.apk | 3.6 MiB | 2024-03-29 01:23:23 |
ocaml-containers-3.7-r2.apk | 3.6 MiB | 2024-03-24 02:11:58 |
svls-0.2.11-r0.apk | 3.6 MiB | 2024-01-03 19:03:31 |
libretro-mame2000-0_git20220413-r0.apk | 3.6 MiB | 2022-04-21 10:27:39 |
exercism-3.2.0-r4.apk | 3.6 MiB | 2024-04-07 00:47:11 |
ocaml-gettext-0.4.2-r3.apk | 3.6 MiB | 2024-03-24 02:12:09 |
olab-0.1.8-r0.apk | 3.6 MiB | 2024-04-22 06:21:50 |
pspp-dbg-1.4.1-r3.apk | 3.6 MiB | 2023-07-04 00:01:47 |
simh-3.11.1-r1.apk | 3.6 MiB | 2022-11-02 08:49:10 |
prometheus-rethinkdb-exporter-1.0.1-r20.apk | 3.6 MiB | 2024-04-07 00:50:14 |
dive-0.11.0-r5.apk | 3.7 MiB | 2024-04-07 00:46:48 |
lomiri-gallery-app-3.0.2-r0.apk | 3.7 MiB | 2024-03-08 21:43:24 |
font-teluguvijayam-20190525-r1.apk | 3.7 MiB | 2022-08-21 15:19:49 |
manifest-tool-2.1.6-r2.apk | 3.8 MiB | 2024-04-07 00:49:31 |
prometheus-smartctl-exporter-0.12.0-r2.apk | 3.8 MiB | 2024-04-07 00:50:15 |
i3status-rust-0.33.1-r0.apk | 3.8 MiB | 2024-04-08 19:56:05 |
yarr-2.4-r5.apk | 3.8 MiB | 2024-04-07 00:52:32 |
geodns-logs-3.3.0-r5.apk | 3.9 MiB | 2024-04-07 00:47:34 |
advancemame-mess-3.9-r4.apk | 3.9 MiB | 2023-03-15 12:17:10 |
otrs-dev-6.0.48-r1.apk | 3.9 MiB | 2024-01-15 09:42:35 |
mypaint-2.0.1-r0.apk | 3.9 MiB | 2023-04-23 06:02:25 |
jsonnet-language-server-0.13.1-r2.apk | 3.9 MiB | 2024-04-07 00:48:24 |
ocaml-lambda-term-dev-3.2.0-r4.apk | 3.9 MiB | 2024-03-24 02:12:17 |
mqtt2prometheus-0.1.7-r8.apk | 3.9 MiB | 2024-04-07 00:49:51 |
azorius-0.3.4-r2.apk | 3.9 MiB | 2024-04-07 00:45:38 |
acmetool-0.2.2-r5.apk | 3.9 MiB | 2024-04-07 00:45:34 |
modem-manager-gui-doc-0.0.20-r0.apk | 3.9 MiB | 2021-10-29 13:58:27 |
tanka-0.26.0-r4.apk | 3.9 MiB | 2024-04-07 00:51:08 |
pdfcpu-0.7.0-r1.apk | 3.9 MiB | 2024-04-07 00:50:05 |
phoronix-test-suite-10.8.4-r2.apk | 3.9 MiB | 2023-10-15 13:20:30 |
amule-2.3.3-r13.apk | 3.9 MiB | 2024-04-22 18:58:06 |
ocaml-ppx_blob-0.8.0-r0.apk | 4.0 MiB | 2024-04-22 06:21:41 |
mtg-2.1.7-r13.apk | 4.0 MiB | 2024-04-07 00:49:52 |
openocd-git-dbg-0_git20240113-r0.apk | 4.0 MiB | 2024-01-17 08:39:43 |
rtl88x2bu-src-5.13.1_git20230711-r0.apk | 4.0 MiB | 2023-07-26 06:23:34 |
horizon-dbg-0.9.6-r9.apk | 4.0 MiB | 2024-04-22 18:58:15 |
kubectl-krew-0.4.4-r4.apk | 4.0 MiB | 2024-04-07 00:49:05 |
crowdsec-email-plugin-1.6.1-r0.apk | 4.0 MiB | 2024-04-17 02:55:17 |
ytt-0.47.0-r2.apk | 4.0 MiB | 2024-04-07 00:52:33 |
java-jtharness-6.0_p12-r0.apk | 4.0 MiB | 2022-10-05 19:12:20 |
prometheus-smokeping-prober-0.7.1-r4.apk | 4.0 MiB | 2024-04-07 00:50:16 |
ocaml-lwt_ppx-5.7.0-r0.apk | 4.0 MiB | 2024-03-24 02:12:18 |
py3-drf-yasg-1.21.7-r1.apk | 4.0 MiB | 2024-04-15 21:51:33 |
reg-0.16.1-r20.apk | 4.1 MiB | 2024-04-07 00:50:27 |
libsymmetrica-3.0.1-r2.apk | 4.1 MiB | 2023-08-01 12:38:03 |
go-swag-1.8.12-r4.apk | 4.1 MiB | 2024-04-07 00:47:39 |
prometheus-bind-exporter-0.7.0-r3.apk | 4.1 MiB | 2024-04-07 00:50:11 |
jackline-0.1.0-r3.apk | 4.1 MiB | 2024-04-22 06:21:26 |
muse-doc-4.2.1-r1.apk | 4.1 MiB | 2024-04-16 16:11:02 |
gx-0.14.3-r22.apk | 4.2 MiB | 2024-04-07 00:47:49 |
crowdsec-splunk-plugin-1.6.1-r0.apk | 4.2 MiB | 2024-04-17 02:55:20 |
zot-exporter-2.0.4-r1.apk | 4.2 MiB | 2024-05-09 22:17:33 |
crowdsec-http-plugin-1.6.1-r0.apk | 4.2 MiB | 2024-04-17 02:55:19 |
crowdsec-slack-plugin-1.6.1-r0.apk | 4.2 MiB | 2024-04-17 02:55:20 |
chamo-dev-4.0-r0.apk | 4.2 MiB | 2024-04-22 06:21:25 |
ocaml-dns-dev-6.2.2-r3.apk | 4.2 MiB | 2024-03-24 02:12:03 |
geodns-3.3.0-r5.apk | 4.2 MiB | 2024-04-07 00:47:33 |
fathom-1.3.1-r5.apk | 4.3 MiB | 2024-04-07 00:47:12 |
ocaml-bitstring-4.1.0-r3.apk | 4.3 MiB | 2024-03-24 02:11:54 |
yazi-0.2.5-r0.apk | 4.3 MiB | 2024-04-28 22:24:57 |
tootik-0.10.4-r0.apk | 4.3 MiB | 2024-05-01 07:39:02 |
envconsul-0.13.2-r5.apk | 4.3 MiB | 2024-04-07 00:47:06 |
nauty-dev-2.8.8-r0.apk | 4.3 MiB | 2023-12-08 02:12:49 |
ocaml-uri-dev-4.2.0-r2.apk | 4.3 MiB | 2024-03-24 02:12:51 |
arc-icon-theme-20161122-r0.apk | 4.4 MiB | 2021-05-30 14:22:46 |
projectm-presets-3.1.12-r2.apk | 4.4 MiB | 2024-05-09 03:06:13 |
ssh-cert-authority-2.0.0-r18.apk | 4.4 MiB | 2024-04-07 00:50:58 |
ocaml-sedlex-3.2-r0.apk | 4.4 MiB | 2024-03-24 02:12:49 |
gx-go-1.9.0-r24.apk | 4.4 MiB | 2024-04-07 00:47:50 |
ocaml-cstruct-6.1.0-r3.apk | 4.4 MiB | 2024-03-24 02:12:01 |
java-jtreg-7.3.1_p1-r0.apk | 4.4 MiB | 2023-10-22 08:34:59 |
cinny-web-3.2.0-r0.apk | 4.4 MiB | 2024-01-18 01:23:20 |
conntracct-0.2.7-r23.apk | 4.4 MiB | 2024-04-07 00:46:12 |
yoshimi-doc-2.3.2-r0.apk | 4.5 MiB | 2024-04-18 13:54:55 |
mir-dev-2.15.0-r1.apk | 4.5 MiB | 2024-04-22 18:58:34 |
rizin-libs-0.6.3-r0.apk | 4.5 MiB | 2023-10-18 15:52:49 |
s5cmd-2.2.2-r2.apk | 4.5 MiB | 2024-04-07 00:50:39 |
py3-language-data-1.1-r1.apk | 4.5 MiB | 2024-04-15 21:51:37 |
geonames-lang-0.3.1-r1.apk | 4.6 MiB | 2024-04-17 17:02:44 |
dhewm3-1.5.2-r0.apk | 4.6 MiB | 2022-08-24 08:00:11 |
flare-engine-1.14-r0.apk | 4.6 MiB | 2023-01-26 19:29:02 |
ocaml-base-0.16.3-r0.apk | 4.6 MiB | 2024-03-24 02:11:50 |
kubectl-oidc_login-1.28.0-r5.apk | 4.7 MiB | 2024-04-07 00:49:05 |
py3-litex-hub-pythondata-software-picolibc-2023.12-r4.apk | 4.7 MiB | 2024-04-15 21:51:54 |
pari-libs-2.15.4-r0.apk | 4.7 MiB | 2023-07-11 03:38:15 |
spacectl-0.30.0-r2.apk | 4.7 MiB | 2024-04-07 00:50:54 |
gmic-libs-3.3.5-r0.apk | 4.7 MiB | 2024-04-26 13:48:32 |
trunk-0.20.0-r0.apk | 4.7 MiB | 2024-05-03 22:31:43 |
qbittorrent-cli-2.0.0-r3.apk | 4.8 MiB | 2024-04-07 00:50:23 |
upterm-server-0.13.5-r0.apk | 4.8 MiB | 2024-05-06 21:28:37 |
scalingo-1.30.0-r2.apk | 4.8 MiB | 2024-04-07 00:50:43 |
cargo-deny-0.14.23-r0.apk | 4.8 MiB | 2024-05-05 05:24:51 |
secsipidx-dev-1.3.2-r4.apk | 4.9 MiB | 2024-04-07 00:50:45 |
ocaml-bisect_ppx-2.8.3-r0.apk | 4.9 MiB | 2024-03-24 02:11:53 |
speedtest-go-1.1.5-r7.apk | 4.9 MiB | 2024-04-07 00:50:55 |
py3-nwdiag-3.0.0-r2.apk | 4.9 MiB | 2024-04-15 21:51:56 |
wabt-1.0.34-r0.apk | 5.0 MiB | 2023-10-30 23:12:00 |
alps-0_git20230807-r3.apk | 5.0 MiB | 2024-04-07 00:45:35 |
mautrix-slack-0_git20230925-r3.apk | 5.0 MiB | 2024-05-07 20:17:31 |
swi-prolog-9.2.4-r0.apk | 5.1 MiB | 2024-04-29 01:03:30 |
openttd-13.4-r1.apk | 5.1 MiB | 2023-11-09 08:43:17 |
virter-0.27.0-r0.apk | 5.1 MiB | 2024-05-03 22:48:54 |
ocaml-camomile-data-1.0.2-r3.apk | 5.1 MiB | 2024-03-24 02:11:57 |
tillitis-key1-apps-0.0.6-r6.apk | 5.1 MiB | 2024-04-07 00:51:21 |
comics-downloader-gui-0.33.8-r2.apk | 5.1 MiB | 2024-04-07 00:46:11 |
dustracing2d-2.1.1-r1.apk | 5.2 MiB | 2022-11-02 08:47:04 |
ocaml-higlo-0.9-r0.apk | 5.2 MiB | 2024-04-22 06:21:38 |
libsymmetrica-static-3.0.1-r2.apk | 5.2 MiB | 2023-08-01 12:38:04 |
ocaml-uucp-14.0.0-r2.apk | 5.2 MiB | 2024-04-22 06:21:46 |
openjdk22-demos-22.0.1_p8-r2.apk | 5.2 MiB | 2024-04-26 00:20:17 |
abc-0_git20240102-r0.apk | 5.2 MiB | 2024-01-19 00:07:39 |
drone-cli-1.8.0-r2.apk | 5.3 MiB | 2024-04-07 00:47:01 |
ocaml-ppx_deriving-5.3.0-r0.apk | 5.3 MiB | 2024-03-24 02:12:26 |
py3-onnxruntime-1.17.1-r1.apk | 5.3 MiB | 2024-04-15 21:51:58 |
lychee-0.15.1-r0.apk | 5.3 MiB | 2024-04-30 00:47:36 |
pict-rs-0.5.13-r0.apk | 5.4 MiB | 2024-04-16 11:25:33 |
distrobuilder-2.1-r16.apk | 5.4 MiB | 2024-04-07 00:46:47 |
upterm-0.13.5-r0.apk | 5.4 MiB | 2024-05-06 21:28:36 |
asteroid-wallpapers-2.0.0-r0.apk | 5.5 MiB | 2023-09-01 06:32:06 |
rattler-build-0.16.0-r0.apk | 5.5 MiB | 2024-05-06 21:28:36 |
py3-litex-hub-pythondata-cpu-blackparrot-2023.12-r4.apk | 5.6 MiB | 2024-04-15 21:51:40 |
typescript-5.4.4-r0.apk | 5.6 MiB | 2024-04-08 05:46:55 |
mautrix-discord-0.6.5-r3.apk | 5.6 MiB | 2024-05-07 20:17:29 |
cargo-crev-0.25.5-r0.apk | 5.6 MiB | 2023-12-18 04:01:36 |
go-jsonnet-0.20.0-r6.apk | 5.6 MiB | 2024-04-07 00:47:37 |
warp-s3-0.8.0-r3.apk | 5.6 MiB | 2024-04-07 00:52:07 |
telegram-tdlib-1.8.9-r1.apk | 5.7 MiB | 2023-05-15 20:51:59 |
advancemame-data-3.9-r4.apk | 5.8 MiB | 2023-03-15 12:17:10 |
ocp-index-1.3.6-r0.apk | 5.8 MiB | 2024-03-24 02:12:56 |
flint-2.9.0-r1.apk | 5.8 MiB | 2023-01-06 21:33:30 |
palp-2.20-r1.apk | 5.9 MiB | 2023-08-01 12:38:05 |
yoshimi-2.3.2-r0.apk | 5.9 MiB | 2024-04-18 13:54:54 |
mautrix-gmessages-0.4.0-r1.apk | 5.9 MiB | 2024-05-07 20:17:30 |
nauty-2.8.8-r0.apk | 5.9 MiB | 2023-12-08 02:12:48 |
etcd-ctl-3.5.13-r1.apk | 6.1 MiB | 2024-04-07 00:47:10 |
cvise-2.8.0-r2.apk | 6.1 MiB | 2023-07-29 20:02:42 |
wf-shell-0.8.1-r0.apk | 6.1 MiB | 2024-03-15 06:19:32 |
gb-0.4.4-r23.apk | 6.1 MiB | 2024-04-07 00:47:32 |
glow-1.5.1-r6.apk | 6.1 MiB | 2024-04-07 00:47:36 |
ocaml-stk-0.1.0-r0.apk | 6.1 MiB | 2024-04-22 06:21:42 |
zrepl-0.6.1-r3.apk | 6.1 MiB | 2024-04-07 00:52:46 |
go-passbolt-cli-0.3.1-r0.apk | 6.2 MiB | 2024-04-11 20:53:12 |
conduit-0.7.0-r0.apk | 6.2 MiB | 2024-04-25 08:51:10 |
font-fira-ttf-4.202-r0.apk | 6.2 MiB | 2021-12-04 03:21:09 |
openssl1.1-compat-libs-static-1.1.1w-r0.apk | 6.3 MiB | 2023-09-12 11:29:36 |
kannel-1.5.0-r11.apk | 6.3 MiB | 2023-04-29 21:47:16 |
termusic-mpv-0.7.11-r0.apk | 6.3 MiB | 2023-09-06 15:47:46 |
theforceengine-doc-1.09.540-r1.apk | 6.3 MiB | 2024-02-12 16:05:32 |
compiz-0.9.14.2-r4.apk | 6.4 MiB | 2024-04-22 18:58:08 |
singular-static-4.3.2-r2.apk | 6.4 MiB | 2023-08-01 12:38:07 |
muse-4.2.1-r1.apk | 6.4 MiB | 2024-04-16 16:11:01 |
kompose-1.31.2-r2.apk | 6.4 MiB | 2024-04-07 00:48:58 |
release-plz-0.3.65-r0.apk | 6.4 MiB | 2024-05-06 14:08:41 |
forgejo-runner-3.4.1-r1.apk | 6.4 MiB | 2024-04-07 00:47:31 |
libdcmtk-3.6.8-r0.apk | 6.5 MiB | 2024-01-13 23:09:41 |
py3-flask-admin-1.6.1-r3.apk | 6.5 MiB | 2024-04-15 21:51:34 |
ocamlnet-dev-4.1.9-r2.apk | 6.5 MiB | 2024-03-24 02:12:55 |
yaegi-0.16.1-r0.apk | 6.6 MiB | 2024-05-03 22:37:58 |
openjdk22-jdk-22.0.1_p8-r2.apk | 6.6 MiB | 2024-04-26 00:20:17 |
chamo-4.0-r0.apk | 6.7 MiB | 2024-04-22 06:21:24 |
sqlmap-1.8.4-r0.apk | 6.8 MiB | 2024-04-15 13:56:38 |
fpc-stage0-3.2.2-r1.apk | 6.8 MiB | 2021-12-25 10:35:39 |
mautrix-meta-0.3.0-r1.apk | 6.8 MiB | 2024-05-07 20:17:30 |
ocaml-containers-dev-3.7-r2.apk | 6.9 MiB | 2024-03-24 02:12:00 |
desync-0.9.5-r5.apk | 6.9 MiB | 2024-04-07 00:46:45 |
regal-0.21.3-r0.apk | 6.9 MiB | 2024-05-04 11:20:53 |
protoconf-0.1.7-r5.apk | 6.9 MiB | 2024-04-07 00:50:21 |
librewolf-dbg-125.0.3_p1-r0.apk | 7.0 MiB | 2024-05-06 23:49:31 |
theforceengine-1.09.540-r1.apk | 7.0 MiB | 2024-02-12 16:05:30 |
ocaml-atd-2.15.0-r0.apk | 7.1 MiB | 2024-04-22 06:21:29 |
nom-2.1.4-r0.apk | 7.1 MiB | 2024-04-09 14:29:30 |
coccinelle-1.1.1-r2.apk | 7.1 MiB | 2024-04-18 11:10:44 |
gambit-dev-4.9.5-r0.apk | 7.2 MiB | 2024-01-21 13:55:18 |
kine-0.10.1-r4.apk | 7.2 MiB | 2024-04-07 00:48:49 |
sish-2.14.0-r1.apk | 7.2 MiB | 2024-04-07 00:50:48 |
rio-0.0.36-r0.apk | 7.3 MiB | 2024-03-15 12:04:57 |
oauth2-proxy-7.6.0-r3.apk | 7.4 MiB | 2024-04-07 00:50:00 |
font-fira-otf-4.202-r0.apk | 7.4 MiB | 2021-12-04 03:21:08 |
ovn-24.03.1-r0.apk | 7.4 MiB | 2024-04-12 06:16:38 |
grpcurl-1.9.1-r0.apk | 7.5 MiB | 2024-05-04 11:32:14 |
clementine-1.4.0_git20220324-r12.apk | 7.5 MiB | 2024-05-09 03:06:11 |
zot-cli-2.0.4-r1.apk | 7.6 MiB | 2024-05-09 22:17:33 |
azote-1.12.7-r0.apk | 7.6 MiB | 2024-05-06 21:38:20 |
opcr-policy-0.2.13-r0.apk | 7.8 MiB | 2024-05-04 11:09:33 |
gambit-4.9.5-r0.apk | 7.8 MiB | 2024-01-21 13:55:18 |
etcd-3.5.13-r1.apk | 7.9 MiB | 2024-04-07 00:47:09 |
glmark2-2023.01-r0.apk | 7.9 MiB | 2023-05-18 21:55:28 |
vale-3.4.2-r0.apk | 7.9 MiB | 2024-05-01 12:52:36 |
ocaml-lablgtk3-3.1.2-r3.apk | 8.0 MiB | 2024-03-24 02:12:12 |
ocaml-cohttp-tools-5.3.1-r0.apk | 8.0 MiB | 2024-04-22 06:21:32 |
pspp-1.4.1-r3.apk | 8.0 MiB | 2023-07-04 00:01:47 |
ko-0.15.2-r1.apk | 8.1 MiB | 2024-04-07 00:48:57 |
cloudfoundry-cli-8.7.9-r1.apk | 8.2 MiB | 2024-04-07 00:46:08 |
faust-2.60.3-r2.apk | 8.2 MiB | 2023-06-29 02:17:46 |
soju-0.7.0-r2.apk | 8.3 MiB | 2024-04-07 00:50:51 |
docker-auth-1.11.0-r7.apk | 8.4 MiB | 2024-04-07 00:46:50 |
ocaml-ocf-0.8.0-r3.apk | 8.4 MiB | 2024-03-24 02:12:22 |
itd-1.1.0-r5.apk | 8.5 MiB | 2024-04-07 00:48:14 |
git-bug-0.8.0-r11.apk | 8.5 MiB | 2024-04-07 00:47:35 |
py3-apicula-0.11.1-r1.apk | 8.5 MiB | 2024-04-15 21:51:28 |
maddy-0.7.1-r2.apk | 8.5 MiB | 2024-04-07 00:49:27 |
buf-protoc-plugins-1.31.0-r0.apk | 8.5 MiB | 2024-05-04 11:02:44 |
jfrog-cli-2.45.0-r4.apk | 8.5 MiB | 2024-04-07 00:48:22 |
fplll-libs-5.4.5-r0.apk | 8.5 MiB | 2023-10-22 08:13:03 |
libretro-mame2003-0_git20220206-r0.apk | 8.6 MiB | 2022-04-21 10:27:42 |
grpcui-1.4.1-r0.apk | 8.7 MiB | 2024-04-28 19:33:11 |
texlab-5.15.0-r0.apk | 8.7 MiB | 2024-04-26 15:33:18 |
pixi-0.21.1-r0.apk | 8.7 MiB | 2024-05-09 03:06:12 |
fcitx5-5.1.9-r0.apk | 8.9 MiB | 2024-04-25 19:25:22 |
lumina-desktop-core-1.6.2-r0.apk | 9.0 MiB | 2022-07-05 19:11:22 |
piper-phonemize-2023.11.14.4-r1.apk | 9.0 MiB | 2024-03-10 14:56:36 |
undock-0.7.0-r2.apk | 9.0 MiB | 2024-04-07 00:51:44 |
gortr-0.14.8-r5.apk | 9.0 MiB | 2024-04-07 00:47:43 |
linphone-5.3.38-r0.apk | 9.0 MiB | 2024-04-13 17:05:20 |
bettercap-2.32.0-r20.apk | 9.1 MiB | 2024-04-07 00:45:39 |
stayrtr-0.5.1-r2.apk | 9.1 MiB | 2024-04-07 00:51:01 |
onnxruntime-1.17.1-r1.apk | 9.1 MiB | 2024-04-15 21:51:23 |
sing-box-1.8.4-r3.apk | 9.2 MiB | 2024-04-07 00:50:46 |
snowflake-2.9.2-r1.apk | 9.2 MiB | 2024-04-07 00:50:49 |
soju-utils-0.7.0-r2.apk | 9.5 MiB | 2024-04-07 00:50:52 |
fplll-static-5.4.5-r0.apk | 9.5 MiB | 2023-10-22 08:13:04 |
ocaml-xtmpl-0.19.0-r0.apk | 9.5 MiB | 2024-04-22 06:21:49 |
mangal-4.0.6-r10.apk | 9.6 MiB | 2024-04-07 00:49:30 |
buf-1.31.0-r0.apk | 9.7 MiB | 2024-05-04 11:02:43 |
gmsh-4.12.2-r1.apk | 9.7 MiB | 2024-04-21 23:52:23 |
carapace-1.0.2-r0.apk | 9.8 MiB | 2024-05-06 14:34:35 |
ocaml-base-dev-0.16.3-r0.apk | 9.8 MiB | 2024-03-24 02:11:52 |
ocaml5-compiler-libs-5.1.1-r0.apk | 9.8 MiB | 2023-12-07 16:13:08 |
seed7-05.20240322-r0.apk | 9.8 MiB | 2024-03-24 13:31:52 |
maxima-doc-extra-5.47.0-r7.apk | 10.0 MiB | 2024-04-19 06:22:44 |
helm-ls-0.0.12-r1.apk | 10.1 MiB | 2024-04-07 00:47:53 |
ocaml-stk-dev-0.1.0-r0.apk | 10.1 MiB | 2024-04-22 06:21:45 |
py3-litex-hub-pythondata-cpu-cva6-2023.12-r4.apk | 10.1 MiB | 2024-04-15 21:51:44 |
ovn-dev-24.03.1-r0.apk | 10.2 MiB | 2024-04-12 06:16:43 |
jackal-0.64.0-r7.apk | 10.5 MiB | 2024-04-07 00:48:18 |
py3-litex-hub-pythondata-cpu-rocket-2023.12-r4.apk | 10.7 MiB | 2024-04-15 21:51:52 |
flightgear-2020.3.19-r1.apk | 10.8 MiB | 2024-04-22 18:58:11 |
singular-4.3.2-r2.apk | 10.8 MiB | 2023-08-01 12:38:06 |
mitra-2.18.0-r0.apk | 10.9 MiB | 2024-05-08 05:29:44 |
openttd-opensfx-1.0.3-r0.apk | 11.0 MiB | 2021-11-03 11:58:26 |
mapnik-3.1.0-r25.apk | 11.1 MiB | 2023-11-13 21:47:54 |
ocaml-dns-tools-6.2.2-r3.apk | 11.2 MiB | 2024-03-24 02:12:06 |
eccodes-2.32.1-r0.apk | 11.3 MiB | 2023-12-08 22:07:44 |
gmic-3.3.5-r0.apk | 11.4 MiB | 2024-04-26 13:48:31 |
linuxkit-1.2.0-r1.apk | 11.4 MiB | 2024-04-07 00:49:13 |
ocaml-gitlab-dev-0.1.8-r0.apk | 11.6 MiB | 2024-04-22 06:21:37 |
chicago95-icons-3.0.1-r0.apk | 11.7 MiB | 2024-01-19 03:34:49 |
katana-1.1.0-r1.apk | 11.7 MiB | 2024-04-07 00:48:41 |
freedoom-0.12.1-r2.apk | 11.7 MiB | 2023-10-31 06:57:40 |
kismet-0.202307.1-r2.apk | 11.8 MiB | 2023-11-15 14:38:29 |
dnscontrol-4.10.0-r0.apk | 11.9 MiB | 2024-05-07 06:27:28 |
kopia-0.15.0-r2.apk | 11.9 MiB | 2024-04-07 00:49:01 |
scaleway-cli-2.29.0-r0.apk | 11.9 MiB | 2024-04-07 00:50:42 |
atlas-0.22.0-r0.apk | 11.9 MiB | 2024-05-04 11:20:48 |
virtctl-1.2.0-r0.apk | 11.9 MiB | 2024-05-04 11:32:19 |
noggin-model-0.1-r0.apk | 12.0 MiB | 2023-06-01 00:19:34 |
kube-no-trouble-0.7.2-r1.apk | 12.2 MiB | 2024-04-07 00:49:02 |
libvmime-dbg-0.9.2.175-r0.apk | 12.6 MiB | 2024-04-26 06:33:05 |
gprbuild-22.0.0-r2.apk | 12.6 MiB | 2023-05-14 19:21:03 |
typst-lsp-0.13.0-r0.apk | 12.7 MiB | 2024-03-16 17:07:29 |
noson-app-dbg-5.4.1-r1.apk | 12.8 MiB | 2023-08-28 12:01:24 |
taskcafe-0.3.6-r5.apk | 12.8 MiB | 2024-04-07 00:51:12 |
vice-3.8-r0.apk | 12.9 MiB | 2024-01-11 22:51:13 |
libretro-fbneo-0_git20220416-r0.apk | 13.1 MiB | 2022-04-21 10:27:37 |
ocaml-ppxlib-0.32.0-r0.apk | 13.1 MiB | 2024-03-24 02:12:30 |
utop-2.9.1-r4.apk | 13.3 MiB | 2024-04-04 10:21:27 |
utop-full-2.9.1-r4.apk | 13.3 MiB | 2024-04-04 10:21:32 |
advancemame-3.9-r4.apk | 13.5 MiB | 2023-03-15 12:17:09 |
lipstick-asteroidos-dbg-2.0.0-r1.apk | 13.7 MiB | 2023-10-08 10:48:30 |
btcd-0.24.0-r0.apk | 13.7 MiB | 2024-05-10 06:21:53 |
prometheus-podman-exporter-1.11.0-r0.apk | 13.7 MiB | 2024-04-28 19:35:50 |
r2ghidra-5.9.0-r0.apk | 13.8 MiB | 2024-04-02 21:27:26 |
dissent-0.0.22-r1.apk | 13.9 MiB | 2024-04-07 00:46:47 |
avr-libc-git-0_git20240218-r4.apk | 13.9 MiB | 2024-02-23 21:55:09 |
cri-o-1.29.1-r2.apk | 14.0 MiB | 2024-04-07 00:46:18 |
yass-2.5.0-r0.apk | 14.1 MiB | 2024-01-15 21:00:54 |
ocaml-lablgtk3-dev-3.1.2-r3.apk | 14.1 MiB | 2024-03-24 02:12:15 |
merlin-4.14-r0.apk | 14.6 MiB | 2024-03-24 02:11:47 |
kubepug-1.7.1-r2.apk | 15.1 MiB | 2024-04-07 00:49:11 |
stern-1.29.0-r0.apk | 15.4 MiB | 2024-05-05 05:25:03 |
pypy-7.3.12-r0.apk | 15.4 MiB | 2023-06-16 23:13:58 |
ocaml-reason-3.8.2-r1.apk | 15.5 MiB | 2024-03-24 02:12:42 |
grommunio-web-3.7-r0.apk | 15.5 MiB | 2024-04-26 06:33:02 |
telegram-tdlib-static-1.8.9-r1.apk | 15.9 MiB | 2023-05-15 20:52:01 |
pypy-bootstrap-7.3.12-r0.apk | 16.2 MiB | 2023-06-16 23:14:02 |
ocaml-ppxlib-dev-0.32.0-r0.apk | 16.3 MiB | 2024-03-24 02:12:35 |
hubble-cli-0.13.3-r0.apk | 16.4 MiB | 2024-05-06 21:28:35 |
thelounge-4.4.1-r0.apk | 16.4 MiB | 2023-08-07 05:56:26 |
icestorm-0_git20231212-r0.apk | 16.6 MiB | 2024-01-12 02:24:29 |
faust-doc-2.60.3-r2.apk | 16.7 MiB | 2023-06-29 02:17:48 |
yosys-0.36-r3.apk | 16.7 MiB | 2024-04-22 18:59:17 |
ocamlnet-4.1.9-r2.apk | 16.7 MiB | 2024-03-24 02:12:55 |
keybase-client-6.2.8-r2.apk | 17.2 MiB | 2024-04-07 00:48:45 |
bestline-doc-0.0_git20211108-r0.apk | 17.6 MiB | 2022-02-13 08:17:16 |
rabbitmq-server-3.13.2-r0.apk | 17.7 MiB | 2024-05-01 07:58:12 |
eclib-static-20231212-r1.apk | 17.9 MiB | 2024-04-22 18:58:10 |
lomiri-sounds-22.02-r0.apk | 18.0 MiB | 2024-03-15 17:51:14 |
wtfutil-0.43.0-r5.apk | 18.1 MiB | 2024-04-07 00:52:27 |
vals-0.35.0-r2.apk | 18.1 MiB | 2024-04-07 00:52:02 |
font-babelstone-han-15.1.3-r0.apk | 18.3 MiB | 2024-01-10 21:13:45 |
ocaml5-5.1.1-r0.apk | 18.5 MiB | 2023-12-07 16:13:08 |
rke-1.4.3-r7.apk | 18.6 MiB | 2024-04-07 00:50:36 |
mixxx-2.3.6-r2.apk | 19.0 MiB | 2023-12-13 20:21:33 |
mame-data-0.251-r0.apk | 19.1 MiB | 2023-02-22 11:07:49 |
zafiro-icon-theme-1.3-r0.apk | 19.2 MiB | 2023-02-05 02:03:08 |
flightgear-dbg-2020.3.19-r1.apk | 19.4 MiB | 2024-04-22 18:58:14 |
py3-litex-hub-pythondata-cpu-microwatt-2023.12-r4.apk | 19.5 MiB | 2024-04-15 21:51:49 |
pcl-libs-1.14.0-r1.apk | 19.5 MiB | 2024-04-22 18:59:10 |
thanos-0.31.0-r5.apk | 19.6 MiB | 2024-04-07 00:51:19 |
reason-3.8.2-r1.apk | 19.8 MiB | 2024-03-24 02:12:58 |
knative-client-1.14.0-r0.apk | 19.8 MiB | 2024-05-04 11:20:50 |
kubectl-cert-manager-1.14.4-r2.apk | 20.6 MiB | 2024-04-07 00:49:04 |
openjdk22-static-libs-22.0.1_p8-r2.apk | 20.7 MiB | 2024-04-26 00:20:58 |
maxima-5.47.0-r7.apk | 20.9 MiB | 2024-04-19 06:22:42 |
avara-0.7.1-r0.apk | 21.5 MiB | 2023-03-15 12:17:12 |
android-apktool-2.9.3-r0.apk | 21.9 MiB | 2024-01-25 22:49:53 |
nsq-1.3.0-r2.apk | 22.0 MiB | 2024-04-07 00:49:57 |
kubeone-1.7.4-r0.apk | 22.0 MiB | 2024-05-04 11:20:52 |
popeye-0.21.3-r0.apk | 22.2 MiB | 2024-05-04 11:32:17 |
libretro-scummvm-0_git20210325-r0.apk | 22.2 MiB | 2021-05-30 14:22:56 |
libvmime-dev-0.9.2.175-r0.apk | 22.5 MiB | 2024-04-26 06:33:11 |
aero2solver-1.0.0-r0.apk | 22.5 MiB | 2023-11-16 13:04:11 |
angband-4.2.5-r0.apk | 22.6 MiB | 2024-01-28 00:07:43 |
mint-x-icons-1.6.5-r1.apk | 22.7 MiB | 2023-10-31 06:57:42 |
merlin-dev-4.14-r0.apk | 23.0 MiB | 2024-03-24 02:11:49 |
reason-rtop-3.8.2-r1.apk | 24.3 MiB | 2024-03-24 02:13:01 |
ovn-dbg-24.03.1-r0.apk | 24.7 MiB | 2024-04-12 06:16:41 |
flux-2.2.3-r2.apk | 25.0 MiB | 2024-04-07 00:47:23 |
nextpnr-ecp5-0.6-r2.apk | 25.4 MiB | 2024-04-22 18:58:43 |
filebeat-8.13.3-r0.apk | 26.9 MiB | 2024-05-03 19:45:01 |
stone-soup-0.31.0-r0.apk | 27.8 MiB | 2024-04-07 22:36:50 |
usql-0.15.6-r4.apk | 28.0 MiB | 2024-04-07 00:51:55 |
otrs-6.0.48-r1.apk | 28.7 MiB | 2024-01-15 09:42:35 |
cfssl-1.6.4-r6.apk | 28.8 MiB | 2024-04-07 00:45:57 |
spark-2.8.3-r1.apk | 28.9 MiB | 2022-11-02 08:49:15 |
font-hanazono-20170904-r1.apk | 28.9 MiB | 2024-01-06 22:25:36 |
ocaml-reason-dev-3.8.2-r1.apk | 29.2 MiB | 2024-03-24 02:12:48 |
helmfile-0.162.0-r2.apk | 30.0 MiB | 2024-04-07 00:48:01 |
grommunio-gromox-dbg-2.27-r0.apk | 31.0 MiB | 2024-04-26 06:32:58 |
liquibase-4.9.1-r0.apk | 31.6 MiB | 2022-04-11 11:28:01 |
dolt-1.35.13-r0.apk | 31.7 MiB | 2024-05-07 06:23:40 |
zfs-src-2.2.1-r0.apk | 32.5 MiB | 2024-01-11 22:18:22 |
cdogs-sdl-2.1.0-r0.apk | 33.6 MiB | 2024-04-17 13:39:29 |
metricbeat-8.13.3-r0.apk | 34.4 MiB | 2024-05-03 19:45:05 |
crowdsec-1.6.1-r0.apk | 34.4 MiB | 2024-04-17 02:55:17 |
yaru-icon-theme-23.10.0-r0.apk | 34.8 MiB | 2024-04-18 02:08:45 |
libime-1.1.7-r0.apk | 35.3 MiB | 2024-05-10 03:39:54 |
ma1sd-2.5.0-r3.apk | 38.1 MiB | 2024-05-07 20:17:29 |
forgejo-7.0.2-r0.apk | 38.3 MiB | 2024-05-05 05:24:56 |
extremetuxracer-0.8.3-r0.apk | 39.5 MiB | 2023-07-25 13:04:34 |
libmedc-doc-4.1.1-r3.apk | 40.5 MiB | 2024-05-05 05:25:00 |
jitsi-videobridge-2.3.67-r0.apk | 42.1 MiB | 2024-03-28 20:30:57 |
fcitx5-table-extra-5.1.5-r0.apk | 42.3 MiB | 2024-05-10 03:39:49 |
rue-0.3.0-r1.apk | 42.4 MiB | 2022-08-20 13:35:20 |
woodpecker-2.3.0-r3.apk | 43.2 MiB | 2024-04-07 09:10:37 |
hyprland-wallpapers-0.39.1-r0.apk | 45.0 MiB | 2024-04-23 08:03:30 |
openjdk22-src-22.0.1_p8-r2.apk | 47.7 MiB | 2024-04-26 00:20:51 |
starfighter-2.4-r0.apk | 47.9 MiB | 2023-08-08 21:08:07 |
gutenprint-cups-5.3.4-r3.apk | 49.1 MiB | 2023-05-21 17:06:52 |
cilium-cli-0.16.6-r0.apk | 50.0 MiB | 2024-05-06 21:28:33 |
mame-mess-0.251-r0.apk | 54.3 MiB | 2023-02-22 11:07:54 |
kanister-tools-0.107.0-r0.apk | 55.7 MiB | 2024-05-04 11:26:10 |
mimir-2.11.0-r2.apk | 58.4 MiB | 2024-04-07 00:49:50 |
zot-2.0.4-r1.apk | 59.0 MiB | 2024-05-09 22:17:32 |
lxd-feature-5.20-r2.apk | 60.7 MiB | 2024-04-07 00:49:24 |
openjdk22-jre-headless-22.0.1_p8-r2.apk | 61.7 MiB | 2024-04-26 00:20:38 |
trivy-0.50.4-r0.apk | 65.4 MiB | 2024-04-26 13:28:24 |
nextpnr-ice40-0.6-r2.apk | 68.6 MiB | 2024-04-22 18:59:02 |
hashcat-6.2.6-r0.apk | 68.7 MiB | 2022-09-04 23:50:21 |
mame-arcade-0.251-r0.apk | 70.0 MiB | 2023-02-22 11:07:48 |
mint-y-icons-1.7.2-r0.apk | 70.5 MiB | 2023-12-31 19:13:26 |
librewolf-125.0.3_p1-r0.apk | 75.5 MiB | 2024-05-06 23:49:31 |
openjdk22-jmods-22.0.1_p8-r2.apk | 75.8 MiB | 2024-04-26 00:20:28 |
mame-0.251-r0.apk | 99.7 MiB | 2023-02-22 11:07:41 |
moka-icon-theme-5.4.0-r2.apk | 113.7 MiB | 2021-01-13 16:35:44 |
srb2-data-2.2.13-r0.apk | 137.9 MiB | 2023-11-22 10:00:05 |
gmsh-dbg-4.12.2-r1.apk | 146.3 MiB | 2024-04-21 23:52:36 |
endless-sky-0.9.16.1-r0.apk | 175.2 MiB | 2022-10-21 21:53:23 |
trigger-rally-data-0.6.7-r2.apk | 352.1 MiB | 2024-01-02 08:55:15 |
sauerbraten-2020.12.29-r3.apk | 934.7 MiB | 2024-02-12 16:05:29 |